KLA
KLAC
#92
Rank
A$269.23 B
Marketcap
A$2,045
Share price
-15.24%
Change (1 day)
80.16%
Change (1 year)

KLA - 10-K annual report


Text size:
1
================================================================================

SECURITIES AND EXCHANGE COMMISSION
WASHINGTON, D.C. 20549

----------------------

FORM 10-K
(MARK ONE)

[X] ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES
EXCHANGE ACT OF 1934

FOR THE FISCAL YEAR ENDED JUNE 30, 2001
OR
[ ] TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES
EXCHANGE ACT OF 1934

FOR THE TRANSITION PERIOD FROM _____________ TO _____________

COMMISSION FILE NO. 0-9992

----------------------

KLA-TENCOR CORPORATION
(EXACT NAME OF REGISTRANT AS SPECIFIED IN ITS CHARTER)

----------------------

DELAWARE 04-2564110
(STATE OR OTHER JURISDICTION OF (I.R.S. EMPLOYER
INCORPORATION OR ORGANIZATION) IDENTIFICATION NUMBER)

160 RIO ROBLES, SAN JOSE, CALIFORNIA 95134
(ADDRESS OF PRINCIPAL EXECUTIVE OFFICES) (ZIP CODE)

REGISTRANT'S TELEPHONE NUMBER, INCLUDING AREA CODE: (408) 875-6000
SECURITIES REGISTERED PURSUANT TO SECTION 12(b) OF THE ACT:

TITLE OF EACH CLASS NAME OF EACH EXCHANGE ON WHICH REGISTERED
NONE NONE

----------------------

SECURITIES REGISTERED PURSUANT TO SECTION 12(g) OF THE ACT:
COMMON STOCK, $0.001 PAR VALUE
COMMON STOCK PURCHASE RIGHTS
(TITLE OF CLASS)

Indicate by check mark whether the registrant: (1) has filed all reports
required to be filed by Section 13 or 15(d) of the Securities Exchange Act of
1934 during the preceding 12 months (or for such shorter period that the
Registrant was required to file such reports), and (2) has been subject to such
filing requirements for the past 90 days. Yes [X] No [ ]

Indicate by check mark if disclosure of delinquent filers pursuant to
Item 405 of Regulation S-K is not contained herein, and will not be contained,
to the best of registrant's knowledge, in definitive proxy or information
statements incorporated by reference in Part III of this Form 10-K or any
amendment to this Form 10-K. [ ]

The aggregate market value of the voting stock held by non-affiliates of
the registrant based upon the closing price of the registrant's stock, as of
September 14, 2001, was $8,317,338,669. Shares of common stock held by each
officer and director and by each person or group who owns 5% or more of the
outstanding common stock held by each officer and director and by each person or
group who owns 5% or more of the outstanding common stock have been excluded in
that such persons or groups may be deemed to be affiliates. This determination
of affiliate status is not necessarily a conclusive determination for other
purposes.

The registrant had 188,175,083 shares of Common Stock outstanding as of
September 14, 2001.

DOCUMENTS INCORPORATED BY REFERENCE

Portions of the Proxy Statement for the Annual Meeting of Stockholders
("Proxy Statement") to be held on November 9, 2001, and to be filed pursuant to
Regulation 14A within 120 days after registrant's fiscal year ended June 30,
2001, are incorporated by reference into Part III of this Report.

================================================================================
2

INDEX

<TABLE>
<CAPTION>
Page
----
<S> <C> <C>
PART I
Item 1. Business ..................................................... 3
Item 2. Properties ................................................... 19
Item 3. Legal Proceedings ............................................ 21
Item 4. Submission of Matters to a Vote of Security Holders .......... 21

PART II
Item 5. Matters for the Registrant's Common Stock
and Related Shareholder Matters............................... 22
Item 6. Selected Financial Data ...................................... 22
Item 7. Management's Discussion and Analysis
of Results of Operations and Financial Condition.............. 24
Item 7A. Quantitative and Qualitative Disclosures About Market Risk ... 37
Item 8. Financial Statements and Supplementary Data .................. 38
Consolidated Balance Sheets at June 30, 2000
and June 30, 2001 ...................................... 39
Consolidated Statements of Operations for each of the
three years in the period ended June 30, 2001 .......... 40
Consolidated Statements of Stockholders' Equity for each
of the three years in the period ended June 30, 2001 ... 41
Consolidated Statements of Cash Flows for each of the
three years in the period ended June 30, 2001 .......... 42
Notes to Consolidated Financial Statements................ 43
Quarterly Results of Operations (Unaudited)............... 65
Report of Independent Accountants......................... 68
Item 9. Changes in and Disagreements with Accountants
on Accounting and Financial Disclosure ....................... 69

PART III
Item 10. Directors and Executive Officers of the Registrant ........... 70
Item 11. Executive Compensation ....................................... 72
Item 12. Security Ownership of Certain Beneficial
Owners and Management ........................................ 72
Item 13. Certain Relationships and Related Transactions ............... 72

PART IV
Item 14. Exhibits, Financial Statements, Schedules, and
Report on Form 8-K............................................ 73
Signatures................................................................ 76
Schedule II Valuation and Qualifying Accounts............................. 78
Exhibits.................................................................. 79
</TABLE>
3

FORWARD-LOOKING STATEMENTS

This report contains certain forward-looking statements within the
meaning of Section 27A of the Securities Act of 1933 and Section 21E of the
Securities Exchange Act of 1934. All statements included in or incorporated by
reference in this Annual Report on Form 10-K, other than statements of
historical fact, are forward-looking statements. Such forward-looking statements
include, among others, those statements regarding the future results of our
operations; technological trends in the semiconductor industry; our future
product offerings and product features, as well as industry adoption of new
technology; anticipated revenue from various domestic and international regions;
international sales and operations; maintenance of competitive advantage;
success of our product offerings; completion of backlog; creation of development
and engineering programs for research and development; attraction and retention
of employees; the completion of any acquisitions of third parties, or the
technology or assets thereof; benefits received from any acquisitions and
development of acquired technologies; construction of our new Livermore,
California campus; the outcome of any litigation to which we are a party;
results of our investment in leading edge technologies, enhancements of current
products and strategic acquisitions; our future income tax rate; sufficiency of
our existing cash balance, investments and cash generated from operations to
meet our liquidity and working capital requirements; and the effects of hedging
transactions.

Our actual results may differ significantly from those projected in the
forward-looking statements in this report. Factors that might cause or
contribute to such differences include, but are not limited to, those discussed
in the "Risk Factors" section in Item 7, "Management's Discussion and Analysis
of Results of Operations and Financial Condition" and Item 1, "Business" in this
Annual Report on Form 10-K. You should carefully review these risks and also
review the risks described in other documents we file from time to time with the
Securities and Exchange Commission, including the Quarterly Reports on Form 10-Q
that we will file in fiscal 2002. You are cautioned not to place undue reliance
on these forward-looking statements.


PART I


ITEM 1. BUSINESS

THE COMPANY

KLA-Tencor Corporation ("KLA-Tencor") is the world's leading supplier of
process control and yield management solutions for the semiconductor and related
microelectronics industries. Our comprehensive portfolio of products, software,
analysis, services and expertise is designed to help integrated circuit
manufacturers manage yield throughout the entire wafer fabrication process -
from research and development to final mass production yield analysis.



3
4

We offer a broad spectrum of products and services that are used by
every major semiconductor manufacturer in the world. These customers turn to us
for in-line wafer defect monitoring; reticle and photomask defect inspection; CD
SEM metrology; wafer overlay; film and surface measurement; and overall yield
and fab-wide data analysis. These advanced products, coupled with our unique
yield management consulting practice, allow us to deliver the complete yield
management solutions customers need to accelerate their yield learning rates,
reduce their yield excursion risks and adopt industry-leading yield management
practices.

KLA-Tencor Corporation was formed in April 1997 through the merger of
KLA Instruments Corporation and Tencor Instruments, two long-time leaders in the
semiconductor equipment industry, each with over 20 years of experience. KLA
Instruments Corporation was incorporated in Delaware in July 1975 and Tencor
Instruments in California in 1976. Effective April 30, 1997, Tencor Instruments
merged into a wholly owned subsidiary of KLA Instruments Corporation.
Immediately following this merger, KLA Instruments Corporation changed its name
to KLA-Tencor Corporation.

INDUSTRY

General Background

The semiconductor fabrication process begins with a bare silicon
wafer--a round disk that is six, eight or twelve inches in diameter, about as
thick as a credit card and gray in color. The process of manufacturing wafers is
in itself highly sophisticated, involving the creation of large ingots of
silicon by pulling them out of a vat of molten silicon. The ingots are then
sliced into wafers and polished to a mirror finish on one surface, upon which
the circuits are made.

The fabrication of an integrated circuit ("IC" or "chip") is
accomplished by depositing a series of film layers upon a silicon wafer that act
as conductors, semiconductors or insulators. The deposition of these film layers
is interspersed with numerous other process steps that create circuit patterns,
remove portions of the film layers, and perform other functions such as heat
treatment, measurement and inspection. Most advanced chip designs require over
300 individual steps, many of which are performed multiple times. Most chips
consist of two main structures: the lower structure, typically consisting of
transistors or capacitors, which performs the "smart" functions of the chip; and
the upper structure, typically consisting of "interconnect" circuitry, which
connects the components in the lower structure.

Current Trends

Companies that anticipate future market demands by developing and
refining new technologies and manufacturing processes, and bringing them into
production, are better positioned to lead in the semiconductor market. During
previous industry cycles, semiconductor manufacturers generally had to contend
with one key new technology or market trend, such as a specific design rule
shrink. In today's market, the leading semiconductor manufacturers are investing
in bringing three key new technologies into production at the same time: copper
interconnects; deep-sub-wavelength



4
5

lithography (0.13-micron design rules and below); and 300mm (the next larger
wafer size, from which more than twice as many ICs can be produced as on 200mm
wafers).

While each of these three technologies has been adopted at the
development and pilot production stages, several significant challenges and
risks associated with each one have slowed their adoption into full-volume
production. For example, as design rules decrease, yields become more sensitive
to the size and density of defects, while device performance characteristics
become more sensitive to such parameters as linewidth, film thickness variation,
and other factors. Copper introduces both new defects that are harder to find
within the interconnect structure, as well as electrical defects, which cannot
be detected using conventional optical inspection systems. 300mm wafers are
more susceptible to damage than 200mm wafers since they can bend or bow twice
as much, creating stress on the wafer that can result in yield loss. Film
uniformity is also more difficult to maintain on these larger wafers. Moving all
three of these advanced technologies into production at once only adds to the
risk that chipmakers face, since technical challenges in bringing any one of
these into production could also be a factor in slowing the adoption of the
other two.

Our key activities during fiscal year 2001 involved the development of
new process control and yield management tools that enable chipmakers to
accelerate the adoption of these new technologies into full-volume production
while minimizing their associated risks. With our portfolio of
applications-focused technologies and our dedicated yield consulting expertise,
we are in a unique position to be the single source for comprehensive yield
management solutions that enable our customers to achieve first-to-market
success for their next-generation products.

The continuing evolution of semiconductor devices to smaller linewidth
geometries and more complex multi-level circuitry has significantly increased
the cost and the performance requirements of the capital equipment used to
manufacture these devices. Construction of an advanced wafer fabrication
facility can cost over $2 billion, a substantial increase over the cost of
prior-generation facilities. As a result, chipmakers are demanding increased
productivity and higher returns from their manufacturing equipment. Because our
process control and yield management equipment typically represents only a small
percentage of the total investment required to build a fabrication facility, our
customers are able to better leverage these increasingly expensive facilities
and significantly improve their returns on investment.

Our Process Control and Yield Acceleration Solutions

Accelerating the yield ramp and maximizing the production yields of
high-performance devices are key goals of modern semiconductor manufacturing.
Achieving higher yields faster and with higher performance characteristics
increases the revenue a manufacturer can obtain from each semiconductor wafer.
KLA-Tencor systems are used to analyze product and process quality at all
critical points in the IC manufacturing process and provide feedback to our
customers so that fabrication problems can be identified, addressed and
eliminated. This ability to locate the source of defects and other process
issues, as well as contain them, enables semiconductor manufacturers to improve
control over their manufacturing processes, as well as increase their yields and
device value--thus maximizing the return on their investments and lowering their
manufacturing costs.



5
6

The following are some of the methods used to accelerate yields and
optimize device performance, all of which require the capture and analysis of
data gathered through many measurements:

Engineering analysis: This method of analysis is performed
off-line from the manufacturing process to identify, analyze and locate
the source of defects or other manufacturing process issues. Engineering
analysis equipment operates with very high sensitivity to enable
comprehensive analysis of wafers. Because this method operates off the
manufacturing line, high operational speeds are not required.

In-line monitoring: This method of analysis is used to review the
status of ICs during production. Information generated is used to
determine whether the fabrication process steps are within required
tolerances. It is also used to make any necessary real-time process
adjustments before wafer lots move to subsequent process stations.
Because information related to defects is needed quickly, in-line
monitoring requires both high throughput and high sensitivity.

Pass/fail tests: This method of analysis may be used at several
different points in the manufacturing process to evaluate whether
products meet performance specifications.

The most significant opportunities for yield and device performance improvement
generally occur when production is started at new factories and when chips or
wafers are first built. Equipment that helps a manufacturer quickly increase new
product yields and optimize device performance enables the manufacturer to offer
these new products in high volumes early in the product life cycle--the time
when they are likely to generate the greatest profits.

KLA-Tencor is the leader in the design, manufacture, marketing and
service of process monitoring and yield management systems for the semiconductor
industry. Our technical expertise and understanding of customer needs enable us
to provide unique yield management solutions and one of the broadest lines of
process monitoring and yield management function systems available in the
semiconductor industry. Our systems are used to analyze product and process
quality at critical points in the IC manufacturing process, as well as provide
feedback to our customers that can be used to identify, address, contain and
eliminate fabrication problems.

PRODUCTS

We market and sell products to all major semiconductor, wafer, photomask
and data storage manufacturers worldwide. We combine our hardware--consisting of
patterned and unpatterned wafer inspection, optical overlay metrology, e-beam
review, reticle and photomask inspection, spectroscopic and scanning electron
microscope critical dimension (CD SEM) metrology, and film and surface
measurement tools--with our advanced process control (APC), yield analysis and
defect classification software, into fab-wide yield management solutions that
are optimized for each of the manufacturing process cells used in IC production,
including lithography, etch, deposition and chemical mechanical planarization
(CMP).



6
7

Defect Inspection

Our defect inspection tools are used to detect, count, classify and
characterize particles and pattern defects in off-line engineering applications,
as well as in-line at various stages during the wafer, semiconductor and reticle
manufacturing processes. We pioneered the market for automated defect inspection
of semiconductor wafers and reticles more than two decades ago. Our portfolio
includes all the tools necessary for our customers to detect, correlate and
analyze defects, as well as determine and correct their cause.

High-Resolution Imaging Inspection

In 1997, we introduced the 2138 wafer inspection system--a new
member of our established 21xx series, which combines high-speed image
processing with an ultra-broadband brightfield illumination source and
our unique Segmented Auto Threshold technology to provide unprecedented
sensitivity for inspecting patterned wafers. In 1999, we introduced the
model 2139, which extended the capability of the 21xx product line to
0.18-micron processes and incorporated additional sensitivity and
ease-of-use enhancements. In 2000, we introduced the 2350, the first
ultraviolet ("UV") inspection system to feature ultra-broadband
brightfield illumination--enabling the resolution of circuit patterns
and defects for 0.13-micron and smaller processes. The 2350 delivers a
two-fold increase in throughput over the previous generation 21xx
platform.

High-Speed Laser Scattering Inspection

In 1995, we introduced the AIT wafer inspection system, a
platform designed with high throughput and low cost of ownership ("CoO")
for fast and accurate feedback on process tool performance as well as
advanced line monitoring for films, CMP, and non-critical etch and photo
modules. The AIT uses patented double-darkfield technology, which is a
low-angle illumination technique particularly effective for detecting
defects on planar surfaces such as post-CMP wafers. In 1998, we
introduced the AIT II, which expanded on the capabilities of the AIT
through increased sensitivity and throughput. In 2000, we introduced the
AIT III, providing the high-throughput inspection needed for 0.13-micron
and smaller design rules. In June 2001, we unveiled the AIT XP, which
took our AIT inspection family to a whole new level in terms of speed
and sensitivity performance. Incorporating our proprietary NexTek(TM)
technology, the AIT XP can scan an entire wafer in as little as 80
seconds in a single pass, with the sensitivity needed to inspect
advanced devices incorporating 100nm (0.10 micron) and smaller design
rules.

E-Beam Inspection

Scanning Electron Microscopes ("SEMs") use an electron beam to
image and measure surface features on a semiconductor wafer at a much
higher resolution than images captured by optical microscopes. As the
industry moves deeper into the sub-0.18-micron copper-device realm,
SEM-based inspection becomes mandatory for accelerating yield



7
8

ramps. KLA-Tencor pioneered this market with the introduction of the
industry's first e-beam inspection system more than 8 years ago. In
1999, we unveiled the eS20, the first scanning e-beam wafer inspection
system optimized for use in full-volume production. In 2000, we
introduced the eS20XP, which delivers further improvements in
sensitivity while increasing throughput to enable true production line
monitoring of sub-0.13-micron semiconductor manufacturing. KLA-Tencor
leveraged more than 25 years of experience in wafer inspection to bring
this latest tool to market.

Unpatterned Wafer Inspection

In 1997, we introduced the Surfscan SP1(TM) for bare wafer
qualification, process monitoring and equipment monitoring applications.
It provides the high sensitivity, fast throughput and low CoO required
in a production environment, and is used in virtually all semiconductor
manufacturing processes. The SP1(TBI) ("Triple Beam Illumination") was
introduced in 1998 and was designed with additional optical
configurations needed to detect sub-micron defects on metal films and
rough surfaces while still providing sensitivity below 100 nm on
polished silicon. The SP1TBI is also used for detecting defects on
non-uniform films, a critical requirement for CMP applications. In 1999,
we introduced a Surface Nanotopography Measurement capability for the
SP1, enhancing lithography and CMP process monitoring for 0.13-micron
process development. In June 2001, we unveiled the SP1DLS, the first 300
mm tool to provide brightfield, darkfield and nanotopography defect
information in a single scan. It has the sensitivity to capture the
widest variety of defects as small as 50 nm at high throughput speeds of
up to 125 wafers per hour.

Macro After-Develop Inspection

In 1999, we became the first to automate after-develop inspection
("ADI") for macro defects with the introduction of the 2401 macro defect
inspection system. Designed to replace inefficient manual macro ADI, the
2401 is the industry's first fully automated inspection system able to
detect and classify front-end macro lithographic defects, which are 50
microns and larger in size. Current manual ADI methods may capture only
20 percent of photo-related defects as a result of wafer complexity,
background patterning noise, and human boredom and fatigue. In contrast,
the 2401 captures more than 90 percent of all critical macro ADI
defects, while providing comprehensive defect classification and yield
information to dramatically reduce scrap and enable continuous process
improvements.

Reticle Inspection

Our reticle inspection systems look for possible defects that
could be transmitted to the design pattern on the wafer. Reticles are
high precision quartz plates that contain microscopic images of
electronic circuits. Placed into steppers or scanners, these reticles
are used to transfer circuit patterns onto wafers to fabricate ICs.
Error-free reticles are the first step in ensuring high yields in the
manufacturing process since defects in reticles can be replicated on
wafers. Reticle inspection is becoming increasingly critical as the
industry



8
9

moves to deep sub-wavelength lithography (0.13 micron and below), where
the feature sizes printed on wafers are significantly smaller than the
wavelength of light used in the stepper or scanner. This extension of
the lithography process results in a phenomenon known as the "mask error
enhancement factor," where reticle defects once too small to print on
the wafer become enhanced in the lithography process to create
yield-killing wafer defects. We pioneered the market for automated
inspection of reticles and photomasks for the semiconductor
manufacturing industry over two decades ago, and continue to be a market
leader in addressing our customers' evolving inspection requirements.

Our 3XX product family incorporates both a reference database
generator and a data preparation system, which add full die-to-database
functionality to the inspection. This permits inspection against the
ideal reticle pattern as specified by the user's CAD program. We have
continued to develop enhancements to the 3XX to improve performance,
serviceability and reliability. In 1997, for example, we introduced the
Advanced Performance Algorithm and the STARlight(TM) high-resolution
option. These enhancements enable highly accurate and reliable
inspection of next-generation sub-0.25-micron reticles, including
reticles with complex optical proximity correction (OPC) geometries. In
1999, we introduced the 365UV-HR, a deep ultraviolet ("DUV") reticle
pattern inspection tool for both high-volume manufacturing of
0.18-micron devices and early development of 0.13-micron processes.

In September 2000, we unveiled our new Tera(TM) family of reticle
inspection systems, which have the capability to inspect up to a
terapixel (one million by one million pixels) per reticle. The
TeraStar(TM) SLF27, a key member of the Tera family, provides a
three-fold increase in throughput compared to previous generation
systems, and can detect critical killer defects as small as
100nm--making it ideal for inspecting advanced multi-die reticles used
in high-volume IC production. In July 2001, we unveiled our new
Printability Analysis Stepper Simulator(TM) (PASS) software tool, which
enables photomask manufacturers to achieve significant time and cost
savings by automating the reticle defect analysis process and reducing
the amount of repair work needed for sub-wavelength photomasks. PASS is
compatible with all current KLA-Tencor reticle inspection tools.

Optical and E-beam Defect Review

Our defect review capability includes optical confocal
technology, as well as e-beam scanning for higher sensitivity. In 1995,
we introduced the CRS(TM) optical review system, which offers high
throughput and low CoO. In 2000, we introduced the eV300 defect review
system--an advanced, automated SEM designed to gather and analyze defect
excursion information, as well as report the results with the improved
sensitivity required at smaller design rules. The eV300 supplements
optical review by providing topographical information, enabling more
accurate defect classification than can be achieved by optical review
systems alone.



9
10

Process Window Optimization

Our process window optimization products provide virtually all of the
critical measurements fabs need to manage their advanced manufacturing
processes. With our unique combination of CD, overlay, film thickness and
reflectivity measurements, IC manufacturers have the capabilities they need to
maintain the tightest possible control of their lithography, etch, deposition
and CMP processes.

Film Measurement

Our film measurement products measure a variety of optical and
electrical properties of thin films. These products are used to control
a wide range of wafer fabrication steps, where within-wafer and
wafer-to-wafer uniformity of the process is of paramount importance to
semiconductor manufacturers--enabling them to achieve high device
performance characteristics at the lowest possible cost.

In 1995, we introduced the UV-1250SE, which brought a powerful
new technology to production, called spectroscopic ellipsometry ("SE").
KLA-Tencor has shipped more than 500 "UV-SE" systems since the
technology was first introduced. Our third generation "SE" tool, the
ASET-F5, addressed the difficult film measurement needs that came from
the continuing evolution of film development driven by shorter
linewidths. In 1999, we introduced an enhanced version of our
award-winning ASET-F5 thin film measurement system, known as the
ASET-F5x. It incorporates a single wavelength ellipsometry ("SWE")
option to complement the industry-leading "SE" and dual-beam
spectrophotometry ("DBS") technologies incorporated in the ASET-F5.
These combined capabilities provide the accuracy, repeatability and
system-to-system matching required for the production of advanced ICs
with geometries as small as 100 nm.

Contamination Monitoring

Our Quantox(R) product is a non-contact, electrical performance
metrology system for gate dielectric films. Gate dielectric quality is
critical to the speed and reliability of an IC. Quantox measures key
parameters, such as contamination and oxide thickness, which are used
for gate dielectric process control to help maximize device yield.
KLA-Tencor acquired the Quantox product line from Keithley Instruments
in 1998. Since that time, it has continued to remain an integral
component of our portfolio of yield acceleration solutions.

In-situ CMP End-Point Detection

In copper deposition, metal film thickness and uniformity can
vary significantly from wafer to wafer. To compensate for these
variances during CMP, chipmakers have traditionally had to either take
copper wafers off line, which dramatically slows the production process,
or have used optical-only in-situ metrology methods, which provide
limited information and unreliable end-point data. In March 2001, we
unveiled Precice(TM),



10
11

the industry's first production-worthy in-situ film thickness and
end-point control system for copper CMP that provides highly-accurate
measurements in real time. Precice reduces the risk of process errors
due to non-uniform polishing, thereby speeding the ramp of new copper
processes and maximizing copper yields.

E-Beam Metrology

The critical dimension ("CD") of a semiconductor device refers to
a circuit line, element, or feature that must be manufactured to tight
specifications. Semiconductor circuits can be very sensitive to the
widths of their features. Even small variations can affect the speed of
the circuit, or whether the circuit works at all. Control of linewidth
errors is critical to the manufacturing process. Our CD SEM metrology
systems measure selected linewidth features on a chip, thus enabling
control of the manufacturing process.

In 1999, we introduced the 8100XP CD SEM, designed to address the
new metrology challenges associated with manufacturing ICs with
0.18-micron and smaller design rules. Providing maximum flexibility for
both photomask manufacturers and advanced lithography development fabs,
the 8100XP can measure both reticles and wafers without requiring any
hardware or software changeover. Later that year, we enhanced our 8100
CD SEM family with our new Pattern Quality Confirmation ("pQC"(TM))
software. With pQC, the 8100 CD SEM family combines in-line, real-time
metrology with process inspection, enabling the detection of systematic
lithography and etch related problems that can go undetected by
traditional CD SEM measurements.

In 2000, we introduced our latest-generation wafer CD SEMs, the
8200 series (for 200mm wafers) and the 8400 series (for 300mm wafers).
These tools combine high throughput, advanced imaging, superior
measurement precision and enhanced productivity capabilities in a new
Windows NT-based platform. We also introduced the 8250-R reticle CD
control system, which is based on the 8200/8400 CD SEM platform. The
8250-R provides extremely precise and high-throughput measurements on
advanced reticles used in the production of sub-0.13-micron devices.

Spectroscopic CD Metrology

New materials that are used in advanced IC production, such as
low-k dielectrics and photoresists for 193nm lithography, are difficult
to control during lithography and etch processes. As a result, they
require more comprehensive data to be taken on device features and
linewidths in order to identify and correct process variations and
remain within process windows. Our new SpectraCD(TM) system, introduced
in June 2001, provides non-destructive simultaneous and extensive CD,
feature shape, and film-thickness measurements from a single tool,
making it one of the industry's lowest cost-of-ownership,
production-worthy CD metrology systems for 193nm lithography
applications and sub-100nm device production.



11
12

Optical Overlay

Decreasing linewidths, larger die sizes and additional layers
also affect the tolerances for layer-to-layer matching ("Overlay").
Overlay misregistration errors are a crucial cause of yield loss.
Metrology systems are needed to measure the alignment between different
layers of the semiconductor device. Our 5000 Series overlay metrology
systems, which are more tolerant of process and substrate reflectivity
variations than other optical systems, provide the measurements that our
customers need to fine-tune the stepper program to compensate for these
errors and improve process yield. In 1999, we introduced the 5300
overlay system, which provides enhanced performance compared to previous
generation tools and is designed to handle both 200mm and 300mm
wafers. In February 2001, we unveiled the Archer 10, which incorporates
additional sensitivity, throughput and performance enhancements to
enable highly precise and accurate measurements to within 2nm. The
Archer 10 provides one of the industry's most competitive
cost-of-ownership overlay tools for sub-0.13-micron and 300mm
production.

Surface Metrology

Our Stylus profilers measure the surface topography of films and
etched surfaces, and are used in basic research and development as well
as semiconductor production and quality control. In 1999, we introduced
the HRP-240(ETCH), the latest generation of our award-winning HRP(R)
high resolution profilers. This system combines the dishing and erosion
measurement capabilities of our long-scan profilers with high aspect
ratio etched feature measurement capability, which has historically been
limited to atomic force microscopes. This allows customers to monitor
their critical etch processes such as shallow trench isolation (STI) and
dual-damascene via/trench. We also provide stress measurement systems
and capabilities, such as the new Wafer Bow Wafer Stress option for our
ASET-F5x thin film metrology tool, which detect reliability-related
problems such as film cracking, voiding and lifting.

Fab-wide Yield Management Solutions

We offer analysis systems comprised of hardware and software to
translate raw inspection data into patterns that reveal process problems. Our
software productivity and analysis systems capture, store and analyze
data--collected by inspection, measurement and test equipment--to show defect
trends and help semiconductor manufacturers develop long-term yield improvement
strategies. In addition, we enhance the value of our products through our
Customer Service and Support programs, which provide comprehensive worldwide
service and support across all KLA-Tencor product lines. We also offer a yield
management consulting service to improve our customers' return on investment.



12
13

Yield/Data Analysis and Management

In 1999, we acquired Taiwan-based ACME Systems, Inc., a leading
provider of yield correlation software. Combining the newly-acquired
technology from ACME with our own yield management expertise led to the
development of our Klarity ACE yield analysis software, which helps our
customers quickly identify the source of process problems. Other
acquisitions soon followed that continued to enhance our fab-wide yield
management portfolio. With our acquisition of FINLE Technologies in
2000, we developed our Klarity ProData lithography modeling and analysis
software, which helps manufacturers reduce their advanced lithography
development time and cost. Also in 2000, we acquired Fab Solutions,
which added advanced process control ("APC") software into our product
portfolio. APC allows our customers to employ techniques that can
automatically compensate for variances in the IC manufacturing process,
and significantly reduce their yield losses.

In 1997, we introduced IMPACT(TM) automated defect classification
("ADC"), enabling semiconductor manufacturers to utilize software
systems both within and between fabrication facilities to accelerate the
ramp to higher process yields. With IMPACT ADC, semiconductor
manufacturers can develop a defect classification recipe on one system
and then export it to any other system or fabrication facility running
identical processes. In 1999, we introduced IMPACT XP, incorporating
improved optics support and a new SmartGallery(TM) setup tool, which
reduces the setup time associated with ADC implementation in fabs by as
much as 70 percent. This is a critical requirement, particularly for
foundries and application specific integrated circuit ("ASIC")
manufacturers, who specialize in short runs of multiple products. In
2000, we introduced IMPACT SEM XP, bringing to our eV300 SEM review tool
the same production-proven ADC capabilities already implemented on our
high-resolution optical wafer inspection platforms. With these new
capabilities, customers can optimize the eV300 for use in classifying
and reviewing the extremely small defects associated with advanced
semiconductor manufacturing processes, including 0.13-micron and smaller
design rules, thus dramatically reducing the CoO of SEM review.

Real-time defect classification capability, first introduced on
both the AIT II and 2139 products, continues to be a critical feature on
all of our next-generation optical inspection tools, including the AIT
III and 2350. Called iADC (i for "integrated" into the inspection tool),
provides classification and binning of defect types in real time during
inspection, thus providing better organized information in less time and
at a lower cost.

In June 2001, we introduced our new recipe management service,
called iRecipe(TM), which allows factory engineers to quickly and easily
access existing recipes and associated information that reside on a
central database from any personal computer that is connected to the fab
intranet. By integrating iRecipe into their fab network, chipmakers can
reduce their inspection and metrology tool cost of ownership, as well as
improve their overall fab efficiency.



13
14

Customer Service and Support

Our customer support organization is responsible for much of the
support of our customers following the shipment of the equipment and
software, including on-site repair, telephone support, system
installation, relocation services, and selected post-sales applications.

As part of our customer support program, we offer iSupport(TM), a
fast, comprehensive and secure on-line customer support offering that
enables KLA-Tencor's technical support and applications engineers to
remotely access data from KLA-Tencor tools and operate them in real time
to diagnose and rapidly resolve problems when they occur all via a
secure on-line connection controlled by the customer at all times. In
May 2001, KLA-Tencor sold certain intellectual property rights relating
to iSupport to Brooks Automation, a leader in factory automation
solutions. With the iSupport infrastructure now part of Brooks' product
portfolio, remote diagnostics can be more rapidly adopted industry
wide--enabling IC manufacturers, as well as KLA-Tencor and other OEM
tool suppliers, to more readily achieve the benefits of remote
diagnostics, including improved tool productivity and overall equipment
effectiveness, as well as lower CoO.

Our Worldwide Support Operations Educational Services offers a
comprehensive selection of technical courses from maintenance and
service training to basic and advanced applications and operation. We
offer standard and customized courses for individuals and groups both at
the user's location and in one of our three training facilities. We also
offer self-paced learning packages, including video, computer-based
training and study plans.

Yield Management Consulting

Our yield management consulting practice provides the systems,
software and yield management expertise to speed the implementation of
customers' yield improvement programs. This practice provides a broad
range of services and support, including new fab yield management
solution planning, factory and field customer applications training,
dedicated ramp management support, integrated yield management
consulting and applications support for effective solution
implementation, and regional customer response centers with
remote-access diagnostics. Use of our consulting practice provides
accelerated yield learning rates and improved device performance for
maximum return on investment.

Data Storage Industry

Outside the semiconductor industry, KLA-Tencor manufactures, sells and
services yield management solutions to the data storage market.

In April 2001, the Company acquired Phase Metrics, the leading supplier
of inspection/certification technologies to the data storage industry. The
acquisition marks the latest move in the Company's plan to leverage its core
competencies and leadership position in the global



14
15

semiconductor industry to create similar industry-leading positions in other
advanced technology markets.

Phase Metrics' tools and technologies complement the world-class
KLA-Tencor systems that already serve as benchmarks for disk and thin film head
metrology. Whereas KLA-Tencor's demonstrated technology and expertise focuses on
front-end data storage metrology and inspection, Phase Metrics' efforts have
been focused on data storage back-end inspection and test. By leveraging the
naturally synergistic products and services from both companies, in tandem with
their joint sales, marketing and customer support channels, the newly combined
entity is expected to create the single largest yield management force in the
data storage industry.

CUSTOMERS

To support our growing, global customer base, we maintain a significant
presence throughout the United States, Europe, Asia-Pacific and Japan, staffed
with local sales and applications engineers, customer and field service
engineers and yield management consultants. We count among our largest customers
leading semiconductor manufacturers from each of these regions. In fiscal 2001,
2000 and 1999, no single customer accounted for more than 10 percent of our
revenues.

Our business depends upon the capital expenditures of semiconductor
manufacturers, which in turn depend on the current and anticipated market demand
for ICs and products utilizing ICs. We do not consider our business to be
seasonal in nature, but it is cyclical with respect to the capital equipment
procurement practices of semiconductor manufacturers and is impacted by the
investment patterns of such manufacturers in different global markets. Downturns
in the semiconductor industry or slowdowns in the worldwide economy could have a
material adverse effect on our future business and financial results.

SALES, SERVICE AND MARKETING

Our sales, service and marketing efforts are focused on building
long-term relationships with our customers. We focus on providing a single and
comprehensive resource for the full breadth of process control and yield
management products and services. Customers benefit from the simplified planning
and coordination, as well as the increased equipment compatibility found when
dealing with a single supplier. Our revenues are derived primarily from product
sales, principally through our direct sales force and - to a lesser extent -
through distributors.

We believe that the size and location of our field sales, service and
applications engineering, and marketing organizations represent a competitive
advantage in our served markets. We have direct sales forces in the U.S.,
Europe, Asia-Pacific and Japan. We maintain an export compliance program that is
designed to fully meet the requirements of the U.S. Departments of Commerce and
State.

We have over 2,770 sales and related personnel, service engineers and
applications engineers. We maintain sales and service offices throughout the
U.S. In addition, we conduct sales, marketing and services out of wholly-owned
subsidiaries or branches of U.S. subsidiaries in a variety of



15
16

countries, including China, France, Germany, Israel, Italy, Japan, South Korea,
Malaysia, Singapore, Switzerland, Taiwan, Thailand and the United Kingdom.
International sales accounted for approximately 66%, 70%, and 60% of our
revenues in fiscal 2001, 2000, and 1999 respectively. Additional information
regarding our revenues from foreign operations for our last three fiscal years
is incorporated by reference from Note 9 of the Notes to the Consolidated
Financial Statements found under Item 8, "Financial Statements and Supplementary
Data" in this Annual Report on Form 10-K.

We believe that sales outside the U.S. will continue to be a significant
percentage of our revenues. Our future performance will depend, in part, on our
ability to continue to compete successfully in Asia, one of the largest markets
for the sale of yield management services in process monitoring equipment. Our
ability to compete in this area is dependent upon the continuation of favorable
trading relationships between countries in the region (especially Japan, Taiwan
and South Korea) and the United States, and our continuing ability to maintain
satisfactory relationships with leading semiconductor companies in the region.

International sales and operations may be adversely affected by
imposition of governmental controls, restrictions on export technology,
political instability, trade restrictions, changes in tariffs and the
difficulties associated with staffing and managing international operations. In
addition, international sales may be adversely affected by the economic
conditions in each country. The revenues from our international business may
also be affected by fluctuations in currency exchange rates. Although we attempt
to manage the currency risk inherent in non-dollar sales through "hedging,"
there can be no assurance that such efforts will be adequate. These factors
could have a material adverse effect on our future business and financial
results.

BACKLOG

Our backlog for system shipments totaled $724 million at June 30, 2001,
compared to $982 million at June 30, 2000. We include in our backlog only those
customer orders for which we have accepted purchase orders and assigned shipment
dates within twelve months. We expect to fill the present backlog of orders
during fiscal 2002; however, all orders are subject to cancellation or delay by
the customer with limited or no penalty. Due to possible customer changes in
delivery schedules and to cancellation of orders, our backlog at any particular
date is not necessarily indicative of actual sales for any succeeding period.

RESEARCH AND DEVELOPMENT

The market for yield management and process monitoring systems is
characterized by rapid technological development and product innovation. These
technical innovations are inherently complex and require long development cycles
and appropriate professional staffing. We believe continued and timely
development of new products and enhancements to existing products are necessary
to maintain our competitive position. Accordingly, we devote a significant
portion of our human and financial resources to research and development
programs and seek to maintain close relationships with customers to remain
responsive to their needs. As part of our customer



16
17

relationships, we may enter into certain strategic development and engineering
programs whereby our customers offset certain of our research and development
costs.

Key activities during fiscal year 2001 involved development of process
control and yield management equipment for smaller feature sizes, copper-based
devices and 300mm wafers. For information regarding our research and development
expenses during the last three fiscal years, including costs offset by our
strategic development and engineering programs, see Item 7 "Management's
Discussion and Analysis of Results of Operations and Financial Condition" in
this Annual Report on Form 10-K.

In order to make continuing developments in the semiconductor industry,
we are committed to significant engineering efforts toward both product
improvement and new product development. New product introductions may
contribute to fluctuations in operating results, since customers may defer
ordering existing products. If new products have reliability or quality
problems, those problems may result in reduced orders, higher manufacturing
costs, delays in acceptance of and payment for new products and additional
service and warranty expenses. On occasion, we have experienced reliability and
quality problems in connection with certain product introductions, resulting in
some of these consequences. There can be no assurance that we will successfully
develop and manufacture new hardware and software products, or that new hardware
and software products introduced by us will be accepted in the marketplace. If
we do not successfully introduce new products, our results of operations will be
affected adversely.

MANUFACTURING, RAW MATERIALS AND SUPPLIES

We perform system design, assembly and testing in-house and utilize an
outsourcing strategy for the manufacture of components and major subassemblies.
Our in-house manufacturing activities consist primarily of assembling and
testing components and subassemblies that are acquired through third-party
vendors and integrating those subassemblies into our finished products. Our
principal manufacturing activities take place in San Jose and Milpitas,
California, with additional operations in Bedford, Massachusetts, San Diego,
Hayward and Fremont, California, and Migdal Ha'Emek, Israel. We employ
approximately 1,300 manufacturing and 1,370 engineering personnel.

Many of the parts, components and subassemblies (collectively "parts")
are standard commercial products, although certain items are made to KLA-Tencor
specifications. We use numerous vendors to supply parts for the manufacture and
support of our products. Although we make reasonable efforts to ensure that
these parts are available from multiple suppliers, this is not always possible;
and certain parts included in our systems may be obtained only from a single
supplier or a limited group of suppliers. We endeavor to minimize the risk of
production interruption by selecting and qualifying alternative suppliers for
key parts, by monitoring the financial condition of key suppliers and by
ensuring adequate inventories of key parts are available to maintain
manufacturing schedules.

Although we seek to reduce our dependence on sole and limited source
suppliers, in some cases the partial or complete loss of certain of these
sources could disrupt scheduled deliveries to customers and have a material
adverse effect on our results of operations and damage customer relationships.



17
18

COMPETITION

The worldwide market for process control and yield management systems is
highly competitive. In each of our product markets, we face competition from
established and potential competitors, some of which may have greater financial,
research, engineering, manufacturing and marketing resources than us, such as
Applied Materials, Inc. and Hitachi Electronics Engineering Co., Ltd. We may
also face future competition from new market entrants from other overseas and
domestic sources. We expect our competitors to continue to improve the design
and performance of their current products and processes and to introduce new
products and processes with improved price and performance characteristics. We
believe that to remain competitive, we will require significant financial
resources to offer a broad range of products, to maintain customer service and
support centers worldwide and to invest in product and process research and
development.

Significant competitive factors in the market for process control and
yield management systems include system performance, ease of use, reliability,
installed base and technical service and support. We believe that, while price
and delivery are important competitive factors, the customers' overriding
requirement is for systems, which easily and effectively incorporate automated
and highly accurate inspection and metrology capabilities into their existing
manufacturing processes, thereby enhancing productivity.

Our process control and yield management systems for the semiconductor
industry are intended to compete based upon performance and technical
capabilities. These systems may compete with less expensive and more
labor-intensive manual inspection devices.

Management believes that KLA-Tencor is a strong competitor with respect
to both its products and services. However, any loss of competitive position
could negatively impact our prices, customer orders, revenues, gross margins,
and market share, any of which would negatively impact our operating results and
financial condition.

ACQUISITIONS

We continue to pursue a course of strategic acquisitions and alliances
to expand our technologies, product offerings and distribution capabilities. In
fiscal 2001, we acquired substantially all of the assets of Phase Metrics, Inc.
("Phase Metrics"), a supplier of inspection/certification technologies to the
data storage industry.

The financial position and results of operations of this acquisition
were immaterial in relation to those of KLA-Tencor and this transaction was
accounted for as a purchase. Further details of our acquisitions during the last
three fiscal years are incorporated by reference from Note 3 of the Notes to the
Consolidated Financial Statements found under Item 8, "Financial Statements and
Supplementary Data" in this Annual Report on Form 10-K.

Acquisitions involve numerous risks, including management issues and
costs in connection with integration of the operations, technologies, and
products of the acquired companies, possible write-downs of impaired assets, and
the potential loss of key employees of the acquired companies.



18
19

The inability to manage these risks effectively could negatively impact our
operating results and financial condition.

PATENTS AND OTHER PROPRIETARY RIGHTS

We protect our proprietary technology through reliance on a variety of
intellectual property laws, including patent, copyright and trade secrets. We
have filed and obtained a number of patents in the United States and abroad and
intend to continue to pursue the legal protection of our technology through
intellectual property laws. In addition, from time to time we acquire license
rights under U.S. and foreign patents and other proprietary rights of third
parties.

Due to the rapid pace of innovation within the process control and yield
management systems industry, management believes that our protection of patent
and other intellectual property rights is less important than factors such as
our technological expertise, continuing development of new systems, market
penetration, installed base and the ability to provide comprehensive support and
service to customers.

No assurance can be given that patents will be issued on any of our
applications, that license assignments will be made as anticipated or that our
patents, licenses or other proprietary rights will be sufficiently broad to
protect our technology. No assurance can be given that any patents issued to or
licensed by us will not be challenged, invalidated or circumvented or that the
rights granted thereunder will provide us with a competitive advantage. In
addition, there can be no assurance that we will be able to protect our
technology or that competitors will not be able to independently develop similar
or functionally competitive technology.

EMPLOYEES

As of June 30, 2001, we employed a total of approximately 6,400 persons.
None of our employees are represented by a labor union. We have experienced no
work stoppages and believe that our employee relations are good.

Competition is intense in the recruiting of personnel in the
semiconductor and semiconductor equipment industry. We believe that our future
success will depend in part on our continued ability to hire and retain
qualified management, marketing and technical employees.


ITEM 2. PROPERTIES

Information regarding our principal properties at June 30, 2001 is set forth
below:



<TABLE>
<CAPTION>
LOCATION TYPE PRINCIPAL USE FOOTAGE OWNERSHIP
--------------------- -------------- ------------------------------ --------- -----------
<S> <C> <C> <C> <C>
Phoenix, AZ Office Sales and Service 9,736 Leased

Fremont & Hayward, CA Office, plant Research, Engineering, 85,560 Leased
and Marketing,
</TABLE>



19
20

<TABLE>
<S> <C> <C> <C> <C>
warehouse Manufacturing and Service

Livermore, CA Office Sales and Service 19,604 Leased

Milpitas, CA Office, plant Research and Engineering,
and warehouse Marketing, Manufacturing, Sales 728,902 Owned
and Service and Sales
Administration

San Diego, CA Office, plant Research, Engineering, 41,365 Leased
and warehouse Marketing, Manufacturing and
Service

San Jose, CA Office, plant Corporate Headquarters, Research 224,143 Leased
and warehouse and Engineering, Marketing,
Manufacturing, Sales and Service 598,900 Owned
and Sales Administration

Scotts Valley, CA Office, plant Research and Development 9,945 Leased

Colorado Springs, CO Office Sales and Service 6,902 Leased

Bedford, MA Office, plant Administration, Manufacturing, 50,000 Owned
Sales and Service

Portsmouth, NH Office Sales and Service 6,000 Leased

Beaverton, OR Office Sales and Service 13,075 Leased

Austin, TX Office Sales and Service, Training 74,000 Leased

Richardson, TX Office Sales and Service, Training 15,833 Leased

Basingstoke and Office, plant Sales and Service, Warehouse 16,475 Leased
Wokingham, England

Slough, England Office Research and Engineering 15,504 Leased

Dresden and Pucheim, Office Sales and Service 14,975 Leased
Germany

Meylan and Evry, France Office Sales and Service 11,870 Leased

Yokohama, Japan Office Sales and Service 71,794 Leased

Kiheung, South Korea Office Sales and Service 11,579 Leased

Hsinchu, Taiwan Office Sales and Service 33,571 Leased

Tainan, Taiwan Office Sales and Service 6,492 Leased

Migdal Ha'Emek and Office Research and Engineering, 53,800 Leased
Herzliya, Israel Marketing, Manufacturing and
Sales and Service and Sales
Administration
</TABLE>

We also lease office space for other, smaller sales and service offices
in several locations throughout the world. Our operating leases expire at
various times through June 30, 2012 with renewal options at the fair market
value for additional periods up to five years. Additional information of these
leases is incorporated by reference from Note 7 of the Notes to the Consolidated
Financial Statements found under Item 8, "Financial Statements and Supplementary
Data" in this Annual Report on Form 10-K. We believe our properties are
adequately maintained and suitable for their intended use and that our
production facilities have capacity adequate for our current needs.



20
21

ITEM 3. LEGAL PROCEEDINGS

We are named from time to time as a party to lawsuits in the normal
course of our business. Litigation, in general, and intellectual property and
securities litigation in particular, can be expensive and disruptive to normal
business operations. Moreover, the results of complex legal proceedings are
difficult to predict. We believe that we have defenses in each of the cases set
forth below and are vigorously contesting each of these matters.

ADE Corporation

On October 11, 2000, ADE Corporation ("ADE"), a competitor, filed a
patent infringement lawsuit against KLA-Tencor in the U.S. District Court in
Delaware. ADE claimed damages and sought an injunction under U.S. Patent No.
6,118,525. We filed a counterclaim in the same court alleging that ADE has
infringed four of our patents. We claimed damages and a permanent injunction
against ADE. In addition, we are seeking a declaration from the District Court
that ADE's patent is invalid and not infringed by KLA-Tencor. While these
matters are in a preliminary stage and we cannot predict the outcome, we believe
that we have valid defenses and further believe that our counterclaims have
merit.

Schlumberger, Inc. and Rigg Systems, Inc.

On August 30, 1999, we were named as a defendant in a lawsuit in which
Schlumberger, Inc. alleges trade secret misappropriation, unfair competition and
trade slander. On July 21, 2000, the court granted our motion for summary
judgment dismissing the case. Schlumberger, Inc. subsequently filed a motion for
reconsideration of that dismissal and its request for reconsideration was
denied. Schlumberger has now appealed. Although the outcome of these claims
cannot be predicted with certainty, we do not believe that this legal matter
will have a material adverse effect on our financial condition even if plaintiff
prevails. On January 26, 2000, we filed a complaint against Philip Rigg, RIGG
Systems and Schlumberger, Inc. for misappropriation of trade secrets, breach of
contract, breach of fiduciary duty, interference with contract, and unfair
competition. The defendants filed cross-complaints on June 5, 2000 asserting
various statutory and common law theories. Although the outcome of these claims
cannot be predicted with certainty, we do not believe that these legal matters
will have a material adverse effect on our financial condition or results of
operations even if the plaintiff prevails.

Although we cannot predict the outcome of these claims, management does
not believe that any of these legal matters will have a material adverse effect
on KLA-Tencor. Were an unfavorable ruling to occur in one or more of the pending
claims, there exists the possibility of a material impact on our operating
results for the period in which the ruling occurred.


ITEM 4. SUBMISSION OF MATTERS TO A VOTE OF SECURITY HOLDERS

None.



21
22

PART II


ITEM 5. MARKET FOR THE REGISTRANT'S COMMON STOCK AND RELATED STOCKHOLDER
MATTERS

KLA-Tencor's common stock is traded on the NASDAQ Stock Market and is
quoted on the NASDAQ National Market under the symbol KLAC. The price per share
reflected in the following table represents the range of high and low closing
prices for our common stock on the NASDAQ National Market for the periods
indicated.

<TABLE>
<CAPTION>
2000 High Low
-----------------------------------------------------------------------
<S> <C> <C>
First Quarter $ 36 11/16 $ 31 11/32
Second Quarter 55 11/16 33 1/8
Third Quarter 91 1/8 49 11/32
Fourth Quarter 97 7/16 44 1/8
</TABLE>

<TABLE>
<CAPTION>
2001 High Low
-----------------------------------------------------------------------
<S> <C> <C>
First Quarter $ 66 13/16 $ 39 13/16
Second Quarter 40 11/16 26 1/4
Third Quarter 46 1/16 34 1/8
Fourth Quarter 60 21/32 32 3/4
</TABLE>

The stock prices shown above have been restated to reflect KLA-Tencor's
two-for-one stock dividend, effective January 18, 2000.

As of August 31, 2001, there were 1,327 stockholders of record of our
common stock. The closing price for our common stock as reported by the NASDAQ
National Market as of the close of business on August 31, 2001 was $49 9/64 per
share.

We have never paid cash dividends to our stockholders and do not
presently plan to pay cash dividends in the foreseeable future.

ITEM 6. SELECTED FINANCIAL DATA

The following tables reflect selected consolidated summary financial
data for each of the last five fiscal years. This data should be read in
conjunction with the Consolidated Financial Statements and notes thereto, and
with Item 7, "Management's Discussion and Analysis of Results of Operations and
Financial Condition" in this Annual Report on Form 10-K



22
23

<TABLE>
<CAPTION>
Year ended June 30,
(in thousands, except per share data) 1997 1998 1999 2000 2001
-----------------------------------------------------------------------------------------------------------------------
<S> <C> <C> <C> <C> <C>
CONSOLIDATED STATEMENTS OF OPERATIONS:
Revenues $1,031,824 $1,166,325 $ 843,181 $1,498,812 $2,103,757
Income (loss) from operations 145,832 164,631 (10,334) 311,541 458,468
Income before cumulative effect of
change in accounting principles 105,396 134,096 39,212 253,798 373,058
Cumulative effect of change in
accounting principle, net of tax -- -- -- -- (306,375)
Net income 105,396 134,096 39,212 253,798 66,683
Earnings per share:
Income before cumulative effect of
change in accounting principles (1)
Basic 0.65 0.79 0.22 1.39 2.01
Diluted 0.62 0.76 0.21 1.32 1.93
Cumulative effect of change in
accounting principle, net of tax (1)
Basic -- -- -- -- (1.65)
Diluted -- -- -- -- (1.59)
Net income (1)
Basic 0.65 0.79 0.22 1.39 0.36
Diluted 0.62 0.76 0.21 1.32 0.34
</TABLE>
-------------------
(1) The per share data shown above have been restated to reflect KLA-Tencor's
two-for-one stock dividend, effective January 18, 2000.

Pro forma amounts for the periods beginning before July 1, 2000 have not been
presented as the effect of the change in accounting principle could not be
reasonably determined. See Note 1 of the Notes to the Consolidated Financial
Statements.

<TABLE>
<CAPTION>
June 30, (in thousands) 1997 1998 1999 2000 2001
------------------------------------------------------------------------------------------------------------
<S> <C> <C> <C> <C> <C>
CONSOLIDATED BALANCE SHEETS:
Cash, cash equivalents and
marketable securities $ 687,249 $ 723,481 $ 755,183 $ 964,383 $1,146,860
Working capital 531,313 605,688 590,024 1,056,927 912,861
Total assets 1,343,307 1,548,397 1,584,900 2,203,503 2,744,551
Stockholders' equity 1,014,613 1,197,714 1,232,583 1,708,676 1,760,466
</TABLE>



23
24

ITEM 7. MANAGEMENT'S DISCUSSION AND ANALYSIS OF RESULTS OF OPERATIONS AND
FINANCIAL CONDITION

The following discussion of our financial condition and results of
operations should be read in conjunction with our Consolidated Financial
Statements and the related notes included in Item 8, "Financial Statements and
Supplementary Data" in this Annual Report on Form 10-K. This discussion contains
forward-looking statements, which involve risk and uncertainties. Our actual
results could differ materially from those anticipated in the forward looking
statements as a result of certain factors, including but not limited to those
discussed in "Risk Factors" and elsewhere in this report.

RESULTS OF OPERATIONS

KLA-Tencor Corporation is the world's leading supplier of process
control and yield management solutions for the semiconductor and related
microelectronics industries. Our comprehensive portfolio of products, software,
analysis, services and expertise is designed to help integrated circuit
manufacturers manage yield throughout the entire wafer fabrication process --
from research and development to final mass production yield analysis.

In the last half of fiscal 2001, a worldwide softening in demand for
semiconductors resulted in excess capacity and reduced demand for semiconductor
manufacturing equipment. Consequently, we experienced reduced order levels and
some cancellation in orders through the last half of fiscal 2001, resulting in a
lower backlog. In fiscal Q1 2002, we expect orders to be flat to down 10%, as
compared to the prior quarter.

Despite the market fluctuations, our financial position has remained
strong and we continue to have no long-term debt. In response to the downturn in
the semiconductor industry, we have implemented initiatives to reduce costs and
control spending. However, we have increased our new product development by
investing in leading edge technologies and by strategic acquisitions and
alliances. These investments should position our extensive product line to
address the critical initiatives that are key to our customers.

In December 1999, the staff of the Securities and Exchange Commission
(SEC) issued Staff Accounting Bulletin ("SAB") No. 101, "Revenue Recognition in
Financial Statements." The SEC Staff addressed several issues in SAB 101,
including the timing of revenue recognition for sales that involve contractual
customer acceptance provisions and installation of the product if these events
occur after shipment and transfer of title. Historically we recognized system
revenue when the product was shipped, risk of loss had passed to the customer
and collection of the resulting receivable was probable. Under the new
accounting method adopted retroactive to July 1, 2000, we changed our method of
accounting for system sales to generally recognize revenue upon a positive
affirmation by the customer that the system has been installed and is operating
according to pre-determined specifications. In the fourth fiscal quarter of
2001, we implemented the provisions of SAB 101, retroactive to the beginning of
fiscal 2001. This was reported as a cumulative effect of a



24
25

change in accounting principle as of July 1, 2000. The results for the first
three quarters of fiscal year ended June 30, 2001 have been restated in
accordance with SAB 101. This change resulted in a cumulative deferred revenue
of $660.9 million as of June 30, 2000, which translated into a net non-cash
charges of $306.4 million (after reduction for income taxes of $147.5 million)
or a charge of $1.59 per share. Pro forma amounts for the periods beginning
before July 1, 2000 have not been presented as the effect of the change in
accounting principle could not be reasonably determined.

SAB 101 adds an additional cycle time between order acceptance (booking)
and revenue. Our goal is to minimize the impact of cycle time, but our systems
are complex instruments and can require lengthy installation and integration in
the fab. Therefore, we expect that SAB 101 will result in a slower revenue ramp
when bookings are accelerating and a slower revenue decline when bookings are
decelerating.

REVENUES AND GROSS MARGIN

In fiscal 2001, revenues increased $605 million, or 40% to a record
$2.10 billion, from $1.50 billion in fiscal 2000. Revenues of $2.10 billion in
fiscal 2001 reflect KLA-Tencor's adoption of SAB 101. In fiscal 2000 revenues
increased $656 million, or 78% to $1.50 billion, from $843 million in fiscal
1999. We experienced revenue increases for two consecutive years across nearly
all product lines as a result of the increased capital spending by major
semiconductor manufacturers for additional capacity and new technology. In
fiscal 2001, international revenues decreased to 66% of revenues, from 70% in
fiscal 2000, due to lower demand in Japan and Taiwan partially offset by higher
demand in Western Europe and Asia Pacific. In fiscal 2000, international
revenues increased to 70% of revenues, from 60%, due to higher demand in Taiwan,
Asia Pacific, Japan and Western Europe.

Gross margins as a percentage of revenues were 55%, 55% and 47% in
fiscal 2001, 2000 and 1999, respectively. The gross margin ratio in fiscal 2001
remained flat year to year. The increase in fiscal 2000 compared to fiscal 1999
was due primarily to increased capacity utilization resulting from higher unit
volume, as well as faster growth of higher-margin product revenue.

ENGINEERING, RESEARCH AND DEVELOPMENT

Net engineering, research and development expenses were $356 million,
$246 million, and $165 million, or 17%, 16% and 20% of revenues in fiscal 2001,
2000, and 1999, respectively. The dollar increase in fiscal 2001, compared to
fiscal 2000, and fiscal 2000, compared to fiscal 1999, was primarily
attributable to increased investment in new technologies associated with our
ongoing efforts to develop products which address new market segments,
enhancements to existing products including next-generation 300mm products, and
inspection enhancements for sub-quarter micron technology.

Net engineering, research and development expenses were partially offset
by $8 million, $16 million and $14 million in external funding received under
certain strategic development programs conducted with several of our customers
in fiscal 2001, 2000 and 1999, respectively.



25
26

Our future operating results will depend significantly on our ability to
produce products and services that have a competitive advantage in our
marketplace. To do this, we believe that we must continue to make substantial
investments in our research and development efforts. We remain committed to
product development in new and emerging technologies as we address the
requirements of 0.18 micron and 0.13 micron feature sizes, real-time review, and
the transition to copper technology. Our investments in new technology and
existing product enhancements are intended to enable our customers to achieve
higher productivity through cost-effective, leading edge technology solutions.

SELLING, GENERAL AND ADMINISTRATIVE

Selling, general and administrative expenses were $354 million, $268
million and $199 million, or 17%, 18% and 24% of revenues, in fiscal 2001, 2000,
and 1999, respectively. The increase in dollars in fiscal 2001, as compared to
fiscal 2000, was primarily due to increased costs associated with the growth in
revenues. The increase in dollars in fiscal 2000, as compared to fiscal 1999,
was primarily due to increases in our selling and marketing infrastructure and
commissions paid.

NON-RECURRING ACQUISITION, RESTRUCTURING AND OTHER CHARGES

Non-recurring acquisition, restructuring and other charges (credits)
were $(2) million, $(5) million and $43 million in fiscal 2001, 2000, and 1999,
respectively. These charges (credits) are directly attributable to non-recurring
acquisition charges incurred as we continue to pursue a course of strategic
acquisitions and alliances to expand our technologies, product offerings and
distribution capabilities and to restructuring charges incurred in connection
with our fiscal 1999 and fiscal 2001 restructure plans that are offset by
non-recurring income in fiscal 2001.

Non-recurring acquisition charges for the year ended June 30, 2001

In April 2001, we purchased substantially all of the assets of Phase
Metrics, Inc. ("Phase Metrics"), a privately held company, for a total of
approximately $18.9 million in cash, including approximately $1.3 million in
acquisition costs. Phase Metrics is a supplier of inspection/certification
technologies to the data storage industry. The total purchase price was
allocated to the net tangible assets of $13.1 million, identifiable intangible
assets of $4.9 million, in-process research and development of $0.7 million, on
the basis of their relative fair values, with the excess of $0.2 million to
goodwill.

In-process research and development represented the value of products
that were not considered to have reached technological feasibility. To determine
the value of the in-process technology of the fiscal 2001 acquisition, the
expected future cash flows attributable to the in-process technology were
discounted at 30%, taking into account the percentage of completion, utilization
of pre-existing technology, risks related to the characteristics and
applications of the technology, existing and future markets, and technological
risk associated with completing the development of the technology. The valuation
approach used was a form of discounted cash flow



26
27

approach commonly known as the "percentage of completion" approach whereby the
cash flows from the technology are multiplied by the percentage of completion of
the in-process technology.

Non-recurring acquisition charges for the year ended June 30, 2000

In March 2000, we purchased the assets and related technology of Fab
Solutions, a division of ObjectSpace, Inc. for an aggregate purchase price of $8
million. Fab Solutions is a leading provider of advanced process control
software solutions used to respond to yield-impacting parametric data in
near-real time. We recorded a charge of $0.8 million for purchased in-process
research and development, representing the appraised value of products that were
not considered to have reached technological feasibility.

In February 2000, we acquired software developer FINLE Technologies,
Inc., a supplier of lithography modeling and data analysis software used to
speed development of advanced lithography processes required to develop and
produce integrated circuits with 0.12 micron and smaller geometries, for an
aggregate purchase price of $5 million. We recorded a charge of $0.5 million for
purchased in-process research and development, representing the appraised value
of products that were not considered to have reached technological feasibility.

In November 1999, we acquired software developer ACME Systems, Inc., a
leading supplier of yield engineering analysis software used to correlate
parametric electrical test and wafer sort yield data with in-line work in
process and metrology data, for an aggregate purchase price of $6.9 million. We
recorded a charge of $1.9 million for purchased in-process research and
development, representing the appraised value of products that were not
considered to have reached technological feasibility.

To determine the value of the in-process technology of the fiscal 2000
acquisitions, the expected future cash flow attributable to the in-process
technology was discounted, taking into account the percentage of completion,
utilization of pre-existing technology, risks related to the characteristics and
applications of the technology, existing and future markets, and technological
risk associated with completing the development of the technology. The valuation
approach used was a form of discounted cash flow approach commonly known as the
"percentage of completion" approach whereby the cash flows from the technology
are multiplied by the percentage of completion of the in-process technology. In
each acquisition, the value of tangible net assets acquired was nominal.

Non-recurring acquisition charges for the year ended June 30, 1999

In December 1998, we purchased assets and related technology from
Uniphase Corporation for an aggregate purchase price of $3 million. The confocal
laser review station technology acquired is currently used for analysis of
defects on silicon wafers. Assets acquired of $3 million consisted primarily of
inventory.

In November 1998, we purchased assets and technology from Keithley
Instruments, Inc. for an aggregate purchase price of $10 million. The corona
wire gate oxide monitoring tool technology



27
28

we acquired had not yet reached the alpha stage and the cost to complete the
development of this equipment was estimated at the time of acquisition to be $1
million. We recorded a charge of $8 million for purchased in-process research
and development, representing the appraised value of products that were not
considered to have reached technological feasibility.

In June 1998, we acquired Groff Associates, Inc. (dba VARS Inc.) for an
aggregate purchase price of $13 million. The digital and in-line-monitoring
image archiving retrieval software technology we acquired had not yet reached
the alpha stage and the cost to complete the development of these software
products was estimated at the time of acquisition to be $2 million. We recorded
a charge of $13 million for purchased in-process research and development,
representing the appraised value of products that were not considered to have
reached technological feasibility.

In May 1998 we acquired DeviceWare, Inc., a company in its development
stage, for an aggregate purchase price of $3 million. The bit mapping defect
characterization technology acquired had not yet reached the alpha stage and the
cost to complete the development of this software product was estimated at the
time of acquisition to be $1 million. We recorded a charge of $3 million for
purchased in-process research and development, representing the appraised value
of product that was not considered to have reached technological feasibility.

For each of the above three fiscal 1999 transactions, the appraised
value under the income approach used for our calculation did not differ
materially from the result under the percentage of completion approach preferred
by the Securities and Exchange Commission. The value of the tangible net assets
acquired was nominal.

Each of the above acquisitions was accounted for using the purchase
method of accounting and the developmental products acquired were evaluated in
the context of Interpretation 4 of SFAS 2 and SFAS 86. The allocation of the
purchase price to in-process research and development cost was determined by
identifying research projects in areas for which technological feasibility had
not been established and no alternative future uses existed. Substantially all
of the in-process research and development projects acquired were expected to be
complete and generating revenues within the 24 months following the acquisition
date.

Development of acquired technologies remains a significant risk due to
the remaining effort required to achieve technical feasibility, rapidly changing
customer markets and significant competitive threats from numerous companies.
Failure to bring any of these products to market in a timely manner could
adversely affect our sales and profitability in the future. Additionally, the
value of net assets and other intangible assets acquired may become impaired.

Restructuring and Other Charges (Credits)

During fiscal 2001, in response to the downturn in the semiconductor
industry we implemented a restructuring plan to control spending. Our
restructuring plan included three main categories: facilities of $4.7 million,
severance and benefits of $1.6 million, and other costs of $1.0 million. Due to
our downsizing and consolidation of certain of our operations, we will vacate
two of



28
29

our leased office buildings and have included the remaining net book value of
the related leasehold improvements as well as the future lease payments, net of
anticipated sublease revenue in the charge. We have reduced our workforce by
approximately 5%, primarily in the manufacturing areas and recorded severance
charges related to these terminations. In addition, during the fourth fiscal
quarter of 2001, KLA-Tencor sold software and intellectual property associated
with its iSupport(TM) on-line customer support technology and recorded $10.0
million pretax, non-recurring income, which was netted with the other
non-recurring charges.

During fiscal 1999, we implemented a restructuring plan to address the
impact on our business of the downturn in the semiconductor industry. Estimated
restructuring costs of $35 million were classified in four main categories:
facilities, inventory, severance and benefits, and other restructuring costs.
Facilities costs of $12 million included $8 million for lease expense resulting
from consolidation and closure of certain offices located primarily in the
United States and Japan; $3 million for leasehold improvements impaired in those
facilities; and $1 million in other facilities-related exit costs.
Inventory-related costs of $10 million resulted from impaired assets related to
unique parts and non-cancelable purchase commitments of certain development
programs, which were terminated as part of the realignment and streamlining of
our product lines. Severance and benefit-related costs of $8 million included
involuntary termination of approximately 250 personnel from manufacturing,
engineering, sales, marketing, and administration throughout the United States,
Japan and Europe. Other restructuring costs of $5 million related primarily to
the write-off of software licenses and related non-cancelable maintenance
contracts for closed locations. During fiscal year 2000, KLA-Tencor management
determined that $7.8 million of the restructure reserve would not be utilized
because of a change in management's plans for utilization of certain facilities
resulting from an increase in demand for the Company's products. Accordingly,
the restructuring reserve reversal was included in the determination of income
from operations for the year ended June 30, 2000.

INTEREST INCOME AND OTHER, NET

Interest income and other, net was $54 million, $42 million and $61
million in fiscal 2001, 2000, and 1999, respectively. Interest income and other,
net is comprised primarily of gains realized on sales of marketable securities,
interest income earned on the investment and cash portfolio and income
recognized upon settlement of certain foreign currency contracts. The increase
in fiscal 2001 as compared to fiscal 2000 was primarily due to increased
interest income resulting from higher interest rate and higher average
investment balances. The decrease in fiscal 2000 as compared to fiscal 1999 was
primarily due to $17 million in gains realized on the sale of equity securities
held in former supplier company in fiscal 1999.

PROVISION FOR INCOME TAXES

KLA-Tencor's effective income tax rate was 27%, 28% and 22% in fiscal
2001, 2000 and 1999, respectively. In general, our effective income tax rate
differs from the statutory rate of 35% largely as a function of benefits
realized from our Foreign Sales Corporation, research and development tax
credits, income derived from tax exempt interest, and foreign taxes. During
fiscal



29
30

1999, income related to tax exempt interest increased as a component of total
net income in addition to the impact of restructuring, which resulted in a
significantly lower effective tax rate as compared to fiscal 2000 and 2001.

Our future effective income tax rate depends on various factors, such as
tax legislation, the geographic composition of our pre-tax income, non-tax
deductible expenses incurred in connection with acquisitions, amounts of
tax-exempt interest income and research and development credits as a percentage
of aggregate pre-tax income and the effectiveness of our tax planning
strategies.

LIQUIDITY AND CAPITAL RESOURCES

Working capital was $913 million as of June 30, 2001 compared to $1.06
billion as of June 30, 2000. Cash, cash equivalents and short-term marketable
securities increased to $697 million from $598 million at June 30, 2000. In
addition, we maintained $450 million and $366 million in marketable securities
classified as long-term as of June 30, 2001 and 2000, respectively.

Cash provided by operating activities was $408 million, $253 million,
and $122 million in fiscal 2001, 2000 and 1999, respectively. The increase in
cash provided by operating activities in fiscal 2001 compared to fiscal 2000 was
primarily due to increased income before cumulative effect of accounting change,
lower accounts receivable and increased other current liabilities, partially
offset by increased inventory, decreased deferred profit and deferred income
taxes. The increase in cash provided by operating activities in fiscal 2000
compared to fiscal 1999 was primarily due to the increase in net income and
other current liabilities, offset by increased levels of accounts receivable,
inventories and deferred taxes.

Cash used in investing activities was $295 million, $96 million and $38
million in fiscal 2001, 2000 and 1999, respectively. Investing activities
typically consist of purchases and sales or maturity of marketable securities,
purchases of capital assets to support long-term growth and acquisitions of
technology or other companies to allow access to new market segments or emerging
technologies.

We used $58 million of cash in financing activities in fiscal 2001,
generated $61 million in fiscal 2000 and used $16 million in fiscal 1999.
Financing activities typically include sales and repurchases of our common
stock, as well as borrowings and repayments of debt. Issuance of common stock,
net of repurchases, used $60 million in fiscal 2001, provided $79 million in
fiscal 2000 and used $7 million in fiscal 1999.

During fiscal 2001 and 2000, we sold trade notes and accounts receivable
from Japanese customers. At June 30, 2001 and 2000, $52.4 million and $51.9
million, respectively, of these receivables were outstanding.

During fiscal 1998, we entered into certain lease arrangements in
Milpitas and San Jose, California. In connection with these agreements, we have
a contingent liability to the lessor for $100 million in residual value
guarantees of the properties under lease. The impact of these agreements is not
expected to be material to our liquidity.



30
31

We have adopted a plan for the systematic repurchase of shares of our
common stock in the open market to reduce the dilution created by our
stock-based employee benefit and incentive plans. In fiscal 2001, we repurchased
4,580,000 shares of our common stock at an average price of $33.54 per share,
for a total cash outlay of $154 million. In fiscal 2000, we repurchased 520,000
shares of our common stock at an average price of $53.80 per share, for a total
cash outlay of $28 million. In fiscal 1999, we repurchased 2,152,000 shares of
our common stock at an average price of $22.66 per share, for a total cash
outlay of $49 million. Since the inception of the repurchase program in 1997
through June 30, 2001, we have repurchased a total of 8,008,000 shares at an
average price of $30.77 per share. All such shares remain as treasury shares.

At June 30, 2001, our principle sources of liquidity consisted of $1.15
billion of cash, cash equivalents, and investments.

Our liquidity is affected by many factors, some of which are based on
the normal ongoing operations of the business, and others of which relate to the
uncertainties of global economies and the semiconductor and the semiconductor
equipment industries. Although cash requirements will fluctuate based on the
timing and extent of these factors, our management believes that cash generated
from operations, together with the liquidity provided by existing cash balances,
will be sufficient to satisfy our liquidity requirements for the next 12 months.

FACTORS AFFECTING RESULTS, INCLUDING RISKS AND UNCERTAINTIES

Fluctuations in Operating Results and Stock Price

Our operating results have varied widely in the past, and our future
operating results will continue to be subject to quarterly variations based upon
a wide variety of factors including those listed in this section and throughout
this Annual Report on Form 10-K. In addition, future operating results may not
follow any past trends. We believe the factors that make our results fluctuate
and difficult to predict include:

o the cyclical nature of the semiconductor industry;

o the reduction in the price and the profitability of our products;

o our timing of new product introductions;

o our ability to develop and implement new technologies;

o the change in customers' schedules for fulfillment of orders;

o the cancellation of contracts by major customers;

o the shortage of qualified workers in the areas we operate; and

o our ability to manage our manufacturing requirements.



31
32

Operating results also could be affected by sudden changes in customer
requirements, currency exchange rate fluctuations and other economic conditions
affecting customer demand and the cost of operations in one or more of the
global markets in which we do business. As a result of these or other factors,
we could fail to achieve our expectations as to future revenues, gross profit
and income from operations. Our failure to meet the performance expectations set
and published by external sources could result in a sudden and significant drop
in the price of our stock, particularly on a short-term basis, and could
negatively affect the value of any investment in our stock.

Semiconductor Equipment Industry Volatility

The semiconductor equipment industry is highly cyclical. The purchasing
decisions of our customers are highly dependent on the economies of both the
local markets in which they are located and the semiconductor industry
worldwide. The timing, length and severity of the up-and-down cycles in the
semiconductor equipment industry are difficult to predict. This cyclical nature
of the industry in which we operate affects our ability to accurately predict
future revenues and, thus, future expense levels. When cyclical fluctuations
result in lower than expected revenue levels, operating results may be adversely
affected and cost reduction measures may be necessary in order for us to remain
competitive and financially sound. During a down cycle, we must be in a position
to adjust our cost and expense structure to prevailing market conditions and to
continue to motivate and retain our key employees. In addition, during periods
of rapid growth, we must be able to increase manufacturing capacity and
personnel to meet customer demand. We can provide no assurance that these
objectives can be met in a timely manner in response to industry cycles. If we
fail to respond to industry cycles, our business could be seriously harmed.

Currently we are in an industry down cycle. During a down cycle, the
semiconductor industry typically experiences excess production capacity that
causes semiconductor manufacturers to decrease capital spending. We generally do
not have long-term volume production contracts with our customers, and we do not
control the timing or volume of orders placed by our customers. Whether and to
what extent our customers place orders for any specific products, as well as the
mix and quantities of products included in those orders, are factors beyond our
control. Insufficient orders, especially in our down cycles, will result in
under-utilization of our manufacturing facilities and infrastructure and will
negatively affect our operating results and financial condition.

International Trade and Economic Conditions

Ours is an increasingly global market. A majority of our revenues are
derived from outside the United States, and we expect that international
revenues will continue to represent a substantial percentage of our revenues.
Our international revenues and operations are affected by economic conditions
specific to each country and region. Because of our significant dependence on
international revenues, a decline in the economies of any of the countries or
regions in which we do business could negatively affect our operating results.

Managing global operations and sites located throughout the world
presents challenges associated with, among other things, cultural diversity and
organizational alignment. Moreover, each region in the global semiconductor
equipment market exhibits unique characteristics that can



32
33

cause capital equipment investment patterns to vary significantly from period to
period. Periodic local or international economic downturns, trade balance
issues, political instability and fluctuations in interest and currency exchange
rates could negatively affect our business and results of operations. Although
we attempt to manage near-term currency risks through the use of hedging
instruments, there can be no assurance that such efforts will be adequate.

Competition

Our industry includes large manufacturers with substantial resources to
support customers worldwide. Our future performance depends, in part, upon our
ability to continue to compete successfully worldwide. Some of our competitors
are diversified companies with greater financial resources and more extensive
research, engineering, manufacturing, marketing and customer service and support
capabilities than we can provide. We face competition from companies whose
strategy is to provide a broad array of products and services, some of which
compete with the products and services that we offer. These competitors may
bundle their products in a manner that may discourage customers from purchasing
our products. In addition, we face competition from smaller emerging
semiconductor equipment companies whose strategy is to provide a portion of the
products and services, which we offer, using innovative technology to sell
products into specialized markets. Loss of competitive position could negatively
impact our prices, customer orders, revenues, gross margins, and market share,
any of which would negatively affect our operating results and financial
condition. Our failure to compete successfully with these other companies would
seriously harm our business.

Technological Change and Customer Requirements

Success in the semiconductor equipment industry depends, in part, on
continual improvement of existing technologies and rapid innovation of new
solutions. For example, the semiconductor industry continues to shrink the size
of semiconductor devices and has begun to commercialize the process of
copper-based interconnects. These and other evolving customer needs require us
to respond with continued development programs and to cut back or discontinue
older programs, which may no longer have industry-wide support. Technical
innovations are inherently complex and require long development cycles and
appropriate professional staffing. Our competitive advantage and future business
success depend on our ability to accurately predict evolving industry standards,
to develop and introduce new products which successfully address changing
customer needs, to win market acceptance of these new products and to
manufacture these new products in a timely and cost-effective manner. If we do
not develop and introduce new products and technologies in a timely manner in
response to changing market conditions or customer requirements, our business
could be seriously harmed.

In this environment, we must continue to make significant investments in
research and development in order to enhance the performance and functionality
of our products, to keep pace with competitive products and to satisfy customer
demands for improved performance, features and functionality. There can be no
assurance that revenues from future products or product enhancements will be
sufficient to recover the development costs associated with such products or



33
34

enhancements or that we will be able to secure the financial resources necessary
to fund future development. Substantial research and development costs typically
are incurred before we confirm the technical feasibility and commercial
viability of a product, and not all development activities result in
commercially viable products. In addition, we cannot ensure that these products
or enhancements will receive market acceptance or that we will be able to sell
these products at prices that are favorable to us. Our business will be
seriously harmed if we are unable to sell our products at favorable prices or if
our products are not accepted by the market in which we operate.

Key Suppliers

We use a wide range of materials in the production of our products,
including custom electronic and mechanical components, and we use numerous
suppliers to supply materials. We generally do not have guaranteed supply
arrangements with our suppliers. Because of the variability and uniqueness of
customers' orders, we do not maintain an extensive inventory of materials for
manufacturing. We seek to minimize the risk of production and service
interruptions and/or shortages of key parts by selecting and qualifying
alternative suppliers for key parts, monitoring the financial stability of key
suppliers and maintaining appropriate inventories of key parts. Although we make
reasonable efforts to ensure that parts are available from multiple suppliers,
key parts may be available only from a single supplier or a limited group of
suppliers. There can be no assurance that our business will not be harmed if we
do not receive sufficient parts to meet our production requirements in a timely
and cost-effective manner.

Operations at our primary manufacturing facilities and our assembly
subcontractors are subject to disruption for a variety of reasons, including
work stoppages, fire, earthquake, flooding or other natural disasters. Such
disruption could cause delays in shipments of products to our customers. We
cannot ensure that alternate production capacity would be available if a major
disruption were to occur or that, if it were available, it could be obtained on
favorable terms. Such a disruption could result in cancellation of orders or
loss of customers and could seriously harm our business.

Intellectual Property Obsolescence and Infringement

Our success is dependent in part on our technology and other proprietary
rights. We own various United States and international patents and have
additional pending patent applications relating to some of our products and
technologies. The process of seeking patent protection is lengthy and expensive,
and we cannot be certain that pending or future applications will actually
result in issued patents or that issued patents will be of sufficient scope or
strength to provide meaningful protection or commercial advantage to us. Other
companies and individuals, including our larger competitors, may develop
technologies that are similar or superior to our technology or may design around
the patents we own.

We also maintain trademarks on certain of our products and services and
claim copyright protection for certain proprietary software and documentation.
However, we can give no assurance that our trademarks and copyrights will be
upheld or successfully deter infringement by third parties.



34
35

While patent, copyright and trademark protection for our intellectual
property is important, we believe our future success in highly dynamic markets
is most dependent upon the technical competence and creative skills of our
personnel. We attempt to protect our trade secrets and other proprietary
information through agreements with our customers, suppliers, employees and
consultants and through other security measures. We also rely on trade secret
protection for our technology, in part through confidentiality agreements with
our employees, consultants and third parties. We also maintain exclusive and
non-exclusive licenses with third parties for strategic technology used in
certain products. However, these employees, consultants and third parties may
breach these agreements, and we may not have adequate remedies for wrongdoing.
In addition, the laws of certain territories in which we develop, manufacture or
sell our products may not protect our intellectual property rights to the same
extent, as do the laws of the United States.

As is typical in the semiconductor equipment industry, from time to time
we have received communications from other parties asserting the existence of
patent rights, copyrights, trademark rights or other intellectual property
rights which they believe cover certain of our products, processes, technologies
or information. Our customary practice is to evaluate such assertions and to
consider whether to seek licenses where appropriate. However, we cannot ensure
that licenses can be obtained or, if obtained, will be on acceptable terms or
that litigation or other administrative proceedings will not occur. The
inability to obtain necessary licenses or other rights on reasonable terms could
seriously harm our operating results and financial condition.

Key Employees

Our employees are vital to our success, and our key management,
engineering and other employees are difficult to replace. We generally do not
have employment contracts with our key employees. Further, we do not maintain
key person life insurance on any of our employees. The expansion of high
technology companies worldwide has increased demand and competition for
qualified personnel. We may not be able to attract, assimilate or retain
additional highly qualified employees in the future. These factors could
seriously harm our business.

Acquisitions

We seek to develop new technologies from both internal and external
sources. As part of this effort, we may make acquisitions of, or significant
investments in, businesses with complementary products, services and/or
technologies. Acquisitions involve numerous risks, including management issues
and costs in connection with the integration of the operations and personnel,
technologies and products of the acquired companies, the possible write-downs of
impaired assets, and the potential loss of key employees of the acquired
companies. The inability to manage these risks effectively could seriously harm
our business.

Litigation

From time to time we are involved in litigation of various types,
including litigation that alleges infringement of intellectual property rights
and other claims. Litigation tends to be expensive and requires significant
management time and attention. If we lose in a dispute concerning



35
36

intellectual property, a court could require us to pay substantial damages
and/or royalties or could issue an injunction prohibiting us from using
essential technologies. For these and other reasons, this type of litigation
could have a material adverse effect on our business, financial condition and
results of operations. Also, although we may seek to obtain a license under a
third party's intellectual property rights in order to bring an end to certain
claims or actions asserted against us, we may not be able to obtain such a
license on reasonable terms or at all.

Regional Electric Shortages

Recently, California has been experiencing a shortage of electric power
supply that has resulted in intermittent loss of power in some areas in the form
of rolling blackouts. While we have not experienced any power failures to date,
a blackout may affect our ability to manufacture products and meet scheduled
deliveries. If blackouts were to interrupt our power supply, we would be
temporarily unable to continue operations at some of our facilities. Any such
interruption in our ability to continue operations at our facilities could
damage our reputation, harm our ability to retain existing customers and to
obtain new customers, and could result in lost revenue, any of which could
substantially harm our business and results of operations.

Euro Conversion

A new European currency was implemented commencing in January 1999 to
replace the separate currencies of eleven western European countries. This
requires changes in our operations as we modify systems and commercial
arrangements to deal with the new currency. Modifications are necessary in
operations such as payroll, benefits and pension systems, contracts with
suppliers and customers, and internal financial reporting systems. During the
three-year transition period in which transactions may also be made in the old
currencies, we must maintain dual currency processes for our operations. We have
identified the issues created by this problem, and the cost of this effort is
not expected to have a material effect on our business or results of operations.
We cannot be certain, however, that all problems will be foreseen and corrected
or that no material disruption of our business will occur as a result of this
currency change.

EFFECTS OF RECENT ACCOUNTING PRONOUNCEMENTS

In July 2001, the Financial Accounting Standards Board (FASB) issued
FASB Statements Nos. 141 and 142 (SFAS 141 and SFAS 142), "Business
Combinations" and "Goodwill and Other Intangible Assets," respectively. SFAS 141
replaces APB 16 and eliminates pooling-of-interests accounting prospectively. It
also provides guidance on purchase accounting related to the recognition of
intangible assets and accounting for negative goodwill. SFAS 142 changes the
accounting for goodwill from an amortization method to an impairment-only
approach. Under SFAS 142, goodwill will be tested annually and whenever events
or circumstances occur indicating that goodwill might be impaired. SFAS 141 and
SFAS 142 are required to be adopted for fiscal years beginning after December
15, 2001 but must be applied to all business combinations completed after June
30, 2001. Upon adoption of SFAS 142, amortization of goodwill recorded for
business combinations consummated prior to July 1, 2001 will cease, and
intangible assets acquired prior to July 1, 2001 that do not meet the criteria
for recognition under SFAS 141 will be reclassified to goodwill.



36
37

Companies are required to adopt SFAS 142 for fiscal years beginning after
December 15, 2001, but early adoption is permitted. In connection with the
adoption of SFAS 142, we will be required to perform a transitional goodwill
impairment assessment. We are currently studying these standards and have not
yet determined what impact they will have on our results of operations and
financial position.

In August 2001, the FASB issued Statement No. 143 ("SFAS 143"),
"Accounting for Asset Retirement Obligations," which is effective for fiscal
years beginning after June 15, 2002. SFAS 143 addresses financial accounting and
reporting for obligations associated with the retirement of tangible long-lived
assets and the associated asset retirement costs. The Statement applies to all
entities. It applies to legal obligations associated with the retirement of
long-lived assets that result from the acquisition, construction, development,
and (or) the normal operation of a long-lived asset, except for certain
obligations of lessees. We do not expect the adoption of SFAS 143 will have a
significant impact on our financial position and results of operations.


ITEM 7A. QUANTITATIVE AND QUALITATIVE DISCLOSURE ABOUT MARKET RISK

We are exposed to financial market risks, including changes in interest
rates, foreign currency exchange rates and marketable equity security prices. To
mitigate these risks, we utilize derivative financial instruments. We do not use
derivative financial instruments for speculative or trading purposes. All of the
potential changes noted below are based on sensitivity analyses performed on our
financial position at June 30, 2001. Actual results may differ materially.

At the end of fiscal 2001, we had an investment portfolio of fixed
income securities of $575 million, excluding those classified as cash and cash
equivalents (Detail of these securities is incorporated by reference from Note 4
of the Notes to Consolidated Financial Statements found under Item 8, "Financial
Statements and Supplementary Data" in this Annual Report on Form 10-K). These
securities, as with all fixed income instruments, are subject to interest rate
risk and will fall in value if market interest rates increase. If market
interest rates were to increase immediately and uniformly by 10% from levels as
of June 30, 2001, the fair value of the portfolio would decline by $5 million.

As of June 30, 2001 we had net forward contracts to sell $159 million in
foreign currency in order to hedge currency exposures (Detail of these contracts
is incorporated by reference from Note 1 of the Notes to the Consolidated
Financial Statements found under Item 8, "Financial Statements and Supplementary
Data" in this Annual Report on Form 10-K). If we had entered into these
contracts on June 30, 2001, the U.S. dollar equivalent would be $151 million.
The fair market value we would have received if we had sold the contracts on
June 30, 2001, would have been $8 million. A 10% adverse move in currency
exchange rates affecting the contracts would decrease the fair value of the
contracts by $19 million. However, if this occurred, the fair value of the
underlying exposures hedged by the contracts would increase by a similar amount.
Accordingly, we believe that the hedging of our foreign currency exposure should
have no material impact to income or cash flows.



37
38

ITEM 8. FINANCIAL STATEMENTS AND SUPPLEMENTARY DATA



<TABLE>
<S> <C>
Consolidated Balance Sheets at June 30, 2000 and June 30, 2001 ........... 39
Consolidated Statements of Operations for each of the
three years in the period ended June 30, 2001 ........................... 40
Consolidated Statements of Stockholders' Equity for each
of the three years in the period ended June 30, 2001 .................... 41
Consolidated Statements of Cash Flows for each of the
three years in the period ended June 30, 2001 ........................... 42
Notes to Consolidated Financial Statements................................ 43
Quarterly Results of Operations (Unaudited)............................... 67
Report of Independent Accountants......................................... 70
</TABLE>



38
39

CONSOLIDATED BALANCE SHEETS


<TABLE>
<CAPTION>
June 30, (in thousands, except per share data) 2000 2001
----------------------------------------------------------------------------------------------
<S> <C> <C>

Assets

Current assets:
Cash and cash equivalents $ 478,212 $ 529,674
Marketable securities 119,932 167,421
Accounts receivable, net 481,950 402,013
Inventories 282,489 394,406
Deferred income taxes 164,294 360,079
Other current assets 24,877 43,353
----------------------------------------------------------------------------------------------
Total current assets 1,551,754 1,896,946

Land, property and equipment, net 199,719 290,254
Marketable securities 366,239 449,765
Other assets 85,791 107,586
----------------------------------------------------------------------------------------------
Total assets $2,203,503 $2,744,551
==============================================================================================

Liabilities and Stockholders' Equity

Current liabilities:
Accounts payable $ 55,016 $ 60,740
Deferred profit -- 422,054
Other current liabilities 439,811 501,291
----------------------------------------------------------------------------------------------
Total current liabilities 494,827 984,085
----------------------------------------------------------------------------------------------

Commitments and contingencies (Note 7)

Stockholders' equity:
Preferred stock, $0.001 par value, 1,000 shares
authorized, none outstanding -- --
Common stock, $0.001 par value, 500,000 shares authorized,
187,465 and 187,779 shares issued and outstanding 187 188
Capital in excess of par value 717,978 714,145
Retained earnings 976,846 1,043,529
Accumulated other comprehensive income 13,665 2,604
----------------------------------------------------------------------------------------------
Total stockholders' equity 1,708,676 1,760,466
----------------------------------------------------------------------------------------------
Total liabilities and stockholders' equity $2,203,503 $2,744,551
==============================================================================================
</TABLE>

See accompanying notes to consolidated financial statements.



39
40

CONSOLIDATED STATEMENTS OF OPERATIONS


<TABLE>
<CAPTION>
Year ended June 30,
(in thousands, except per share data) 1999 2000 2001
--------------------------------------------------------------------------------------------------
<S> <C> <C> <C>
Revenues $ 843,181 $ 1,498,812 $ 2,103,757
--------------------------------------------------------------------------------------------------

Costs and operating expenses:
Cost of goods sold 447,059 677,805 937,152
Engineering, research and development 164,699 246,227 355,772
Selling, general and administrative 199,057 267,877 354,368
Non-recurring acquisition, restructuring
and other 42,700 (4,638) (2,003)
--------------------------------------------------------------------------------------------------
Total costs and operating expenses 853,515 1,187,271 1,645,289
--------------------------------------------------------------------------------------------------

Income (loss) from operations (10,334) 311,541 458,468

Interest income and other, net 60,643 41,536 54,116
--------------------------------------------------------------------------------------------------

Income before income taxes and cumulative
effect of change in accounting principle 50,309 353,077 512,584

Provision for income taxes 11,097 99,279 139,526
--------------------------------------------------------------------------------------------------

Income before cumulative effect of
change in accounting principle 39,212 253,798 373,058

Cumulative effect of change in accounting
principle, net of tax -- -- (306,375)
--------------------------------------------------------------------------------------------------

Net income $ 39,212 $ 253,798 $ 66,683
==================================================================================================

Net income per share:
Basic
Income before cumulative effect of
change in accounting principle $ 0.22 $ 1.39 $ 2.01
Cumulative effect of change in accounting
principle, net of tax -- -- (1.65)
----------- ----------- -----------

Basic net income per share $ 0.22 $ 1.39 $ 0.36
=========== =========== ===========

Diluted
Income before cumulative effect of
change in accounting principle $ 0.21 $ 1.32 $ 1.93
Cumulative effect of change in accounting
principle, net of tax -- -- (1.59)
----------- ----------- -----------

Diluted net income per share $ 0.21 $ 1.32 $ 0.34
=========== =========== ===========

Weighted average number of shares:
Basic 175,474 182,177 185,860
=========== =========== ===========
Diluted 183,344 192,564 193,435
=========== =========== ===========
</TABLE>

See accompanying notes to consolidated financial statements.



40
41

CONSOLIDATED STATEMENTS OF STOCKHOLDERS' EQUITY


<TABLE>
<CAPTION>
Common Stock and Capital
in Excess of Par Value Accumulated
----------------------------- Retained Other Compre-
(in thousands) Shares Amount Earnings hensive Income Totals
-----------------------------------------------------------------------------------------------------------------------------------
<S> <C> <C> <C> <C> <C>
Balances at June 30, 1998 174,888 $ 497,583 $ 683,836 $ 16,295 $ 1,197,714

Components of comprehensive income:
Net income -- -- 39,212 -- 39,212
Change in unrealized gain on investments -- -- -- (14,877) (14,877)
Currency translation adjustments -- -- -- 3,765 3,765
-----------
Total comprehensive income -- -- -- -- 28,100
-----------
Net issuance under employee stock plans 4,628 41,324 -- -- 41,324
Repurchase of common stock (2,152) (48,767) -- -- (48,767)
Tax benefits of stock option transactions -- 14,212 -- -- 14,212
-----------------------------------------------------------------------------------------------------------------------------------
Balances at June 30, 1999 177,364 504,352 723,048 5,183 1,232,583
Components of comprehensive income:
Net income -- -- 253,798 -- 253,798
Change in unrealized gain on investments -- -- -- 5,580 5,580
Currency translation adjustments -- -- -- 2,902 2,902
-----------
Total comprehensive income -- -- -- -- 262,280
-----------
Net issuance under employee stock plans 10,621 109,951 -- -- 109,951
Repurchase of common stock (520) (27,978) -- -- (27,978)
Tax benefits of stock option transactions -- 131,840 -- -- 131,840
-----------------------------------------------------------------------------------------------------------------------------------
Balances at June 30, 2000 187,465 718,165 976,846 13,665 1,708,676
Components of comprehensive income:
Net income -- -- 66,683 -- 66,683
Change in unrealized gain on investments -- -- -- (2,485) (2,485)
Currency translation adjustments -- -- -- (12,008) (12,008)
Gains on cash flow hedging instruments -- -- -- 3,432 3,432
-----------
Total comprehensive income -- -- -- -- 55,622
-----------
Net issuance under employee stock plans 4,894 93,756 -- -- 93,756
Repurchase of common stock (4,580) (153,632) -- -- (153,632)
Tax benefits of stock option transactions -- 56,044 -- -- 56,044
-----------------------------------------------------------------------------------------------------------------------------------
Balances at June 30, 2001 187,779 $ 714,333 $ 1,043,529 $ 2,604 $ 1,760,466
===================================================================================================================================
</TABLE>


See accompanying notes to consolidated financial statements.



41
42

CONSOLIDATED STATEMENTS OF CASH FLOWS


<TABLE>
<CAPTION>
Year ended June 30, (in thousands) 1999 2000 2001
--------------------------------------------------------------------------------------------------------------------
<S> <C> <C> <C>
Cash flows from operating activities:
Net income $ 39,212 $ 253,798 $ 66,683
Adjustments to reconcile net income to net
cash provided by operating activities:
Cumulative effect of accounting change, net of tax benefit -- -- 306,375
Depreciation and amortization 48,217 63,338 55,649
Restructuring charges 35,000 (7,838) (4,297)
In-process research and development 7,700 3,200 698
Net (gain) loss on sale of marketable securities (18,819) 5,306 (7,703)
Deferred income taxes (27,930) (60,522) (56,939)
Changes in assets and liabilities, net of assets acquired and
liabilities assumed in business combinations:
Accounts receivable 40,898 (185,262) 83,761
Inventories 30,834 (95,780) (101,750)
Other assets (15,449) (13,549) (14,522)
Accounts payable (12,145) 18,969 5,723
Deferred profit -- -- (31,835)
Other current liabilities (5,172) 270,857 106,075
--------------------------------------------------------------------------------------------------------------------
Net cash provided by operating activities 122,346 252,517 407,918
--------------------------------------------------------------------------------------------------------------------

Cash flows from investing activities:
Acquisitions, net of cash received (10,047) (19,925) (20,818)
Purchase of property and equipment (60,736) (78,694) (162,195)
Purchase of marketable securities (598,170) (667,887) (913,096)
Proceeds from sale or maturity of marketable securities 631,188 670,052 801,001
--------------------------------------------------------------------------------------------------------------------
Net cash used in investing activities (37,765) (96,454) (295,108)
--------------------------------------------------------------------------------------------------------------------
Cash flows from financing activities:
Issuance of common stock, net 41,324 106,999 93,756
Stock repurchases (48,767) (27,978) (153,632)
Net borrowings (payments) under short term debt obligations (8,714) (18,316) 1,670
--------------------------------------------------------------------------------------------------------------------
Net cash provided by (used in) financing activities (16,157) 60,705 (58,206)
--------------------------------------------------------------------------------------------------------------------

Effect of exchange rate changes on cash
and cash equivalents (12,906) (10,044) (3,142)
--------------------------------------------------------------------------------------------------------------------

Net increase in cash and cash equivalents 55,518 206,724 51,462

Cash and cash equivalents at beginning of period 215,970 271,488 478,212
--------------------------------------------------------------------------------------------------------------------
Cash and cash equivalents at end of period $ 271,488 $ 478,212 $ 529,674
--------------------------------------------------------------------------------------------------------------------
Supplemental cash flow disclosures:
Income taxes paid, net of refunds $ 10,437 $ 1,243 $ 133,710
Interest paid $ 2,073 $ 1,131 $ 916
Supplemental non-cash investing activities:
Software and technology exchanged for common stock of
public company $ -- $ -- $ 14,309
</TABLE>


See accompanying notes to consolidated financial statements.


42
43

NOTES TO CONSOLIDATED FINANCIAL STATEMENTS

NOTE 1 - SUMMARY OF SIGNIFICANT ACCOUNTING POLICIES

DESCRIPTION OF OPERATIONS AND PRINCIPLES OF CONSOLIDATION KLA-Tencor
Corporation ("KLA-Tencor") is a global provider of process control and yield
management solutions for the semiconductor manufacturing and related
microelectronics industries. Headquartered in San Jose, California, KLA-Tencor
has subsidiaries both in the United States and in key markets throughout the
world.

The Consolidated Financial Statements include the accounts of KLA-Tencor
and its wholly-owned subsidiaries. All significant intercompany balances and
transactions have been eliminated.

MANAGEMENT ESTIMATES The preparation of the Consolidated Financial
Statements in conformity with accounting principles generally accepted in the
United States of America requires management to make estimates and assumptions
that affect the reported amounts of assets and liabilities at the date of the
Consolidated Financial Statements and the reported amounts of revenues and
expenses during the reporting periods. Actual results could differ from those
estimates.

FAIR VALUE OF FINANCIAL INSTRUMENTS KLA-Tencor has evaluated the
estimated fair value of financial instruments using available market information
and valuation methodologies. The amounts reported as investments and bank
borrowings reasonably estimate their fair value. The fair value of KLA-Tencor's
cash, cash equivalents, accounts receivable, accounts payable and other current
liabilities approximates the carrying amount due to the relatively short
maturity of these items.

CASH EQUIVALENTS Cash equivalents consist of highly liquid investments
that are valued at amortized cost, which approximates market value, and have
maturity dates of three months or less from the date of acquisition.

MARKETABLE SECURITIES Short-term marketable securities include debt and
equity securities acquired with maturities exceeding three months but less than
one year from the date of acquisition. Non-current marketable securities include
debt securities acquired with maturities exceeding one year from the date of
acquisition. While KLA-Tencor's intent is to hold debt securities to maturity,
consistent with Statement of Financial Accounting Standards (SFAS) No. 115,
"Accounting for Certain Investments in Debt and Equity Securities," KLA-Tencor
has classified all debt securities and all investments in equity securities that
have readily determinable fair values as available-for-sale, as the sale of such
securities may be required prior to maturity to implement management strategies.
Such securities are reported at fair value, with unrealized gains or losses
excluded from earnings and included in "Accumulated other comprehensive income,"
net of applicable taxes, until realized. The cost of securities sold is based on
the specific identification method. Realized gains or losses and declines in
value, if any, judged to be other than temporary are reported in "Interest
income and other, net" in the Consolidated Statements of Operations.


43
44

INVENTORIES Inventories are stated at the lower of cost (on a first-in,
first-out basis) or market. Demonstration units are stated at their
manufacturing cost and reserves are recorded to state the demonstration units at
their net realizable value.

PROPERTY AND EQUIPMENT Property and equipment are recorded at cost.
Depreciation of property and equipment is based on the straight-line method over
the estimated useful lives of the assets, which are 30 years for buildings, 10
years for building improvements, five to seven years for furniture and fixtures,
and three to five years for machinery and equipment. Leasehold improvements are
amortized by the straight-line method over the shorter of the life of the
related asset or the term of the underlying lease.

INTANGIBLE ASSETS Purchased technology, workforce, trademarks and
goodwill are presented at cost, net of accumulated amortization, and are
amortized over their estimated useful lives of two to five years using the
straight-line method.

SOFTWARE DEVELOPMENT COSTS Development costs incurred in the research
and development of new software products are expensed as incurred until
technological feasibility of the product has been established. Software
development costs incurred after technological feasibility has been established
are capitalized up to the time the product is available for general release to
customers. At June 30, 2000 and 2001, there were no amounts capitalized as
KLA-Tencor's current development process is essentially complete concurrent with
the establishment of technological feasibility.

IMPAIRMENT OF LONG-LIVED ASSETS KLA-Tencor evaluates the carrying value
of its long-lived assets, including goodwill and other identifiable intangibles
assets, whenever events or changes in circumstances indicate that the carrying
value of the asset may be impaired in accordance with the provisions of SFAS
121, Accounting for the Impairment of Long-Lived Assets and for Long-Lived
Assets to be Disposed of, and Accounting Principles Board (APB) Opinion No. 17,
Intangible Assets. An impairment loss is recognized when estimated future cash
flows expected to result from the use of the asset including disposition, is
less than the carrying value of the asset.

CONCENTRATION OF CREDIT RISK Financial instruments, which potentially
subject KLA-Tencor to credit risk, consist principally of investments, accounts
receivable and derivative financial instruments used in hedging activities.

Investments are maintained with high-quality institutions, and the
composition and maturities of investments are regularly monitored by management.
Generally, these securities are traded in a highly liquid market, may be
redeemed upon demand and bear minimal risk. KLA-Tencor, by policy, limits the
amount of credit exposure to any one financial institution or commercial issuer.
KLA-Tencor has not experienced any material losses on its investments.

A majority of KLA-Tencor's trade receivables are derived from sales to
large multinational semiconductor manufacturers throughout the world.
Concentration of credit risk with respect to trade receivables is considered to
be limited due to its customer base and the diversity of its geographic sales
areas. KLA-Tencor performs ongoing credit evaluations of its customers'
financial


44
45

condition. KLA-Tencor maintains a provision for potential credit losses based
upon expected collectibility of all accounts receivable.

KLA-Tencor is exposed to credit loss in the event of nonperformance by
counterparties on the foreign exchange contracts used in hedging activities.
KLA-Tencor does not anticipate nonperformance by these counterparties.

FOREIGN CURRENCY The functional currencies of KLA-Tencor's significant
foreign subsidiaries are the local currencies. Accordingly, all assets and
liabilities of the foreign operations are translated to U.S. dollars at current
period end exchange rates, and revenues and expenses are translated to U.S.
dollars using average exchange rates in effect during the period. The gains and
losses from foreign currency translation of these subsidiaries' financial
statements are recorded directly into a separate component of stockholders'
equity under the caption "Accumulated other comprehensive income." Currency
transaction gains and losses have not been significant.

DERIVATIVE INSTRUMENTS KLA-Tencor's foreign subsidiaries operate and
sell KLA-Tencor's products in various global markets. As a result, KLA-Tencor is
exposed to changes in foreign currency exchange rates. KLA-Tencor utilizes
foreign currency forward exchange contracts to hedge against certain future
movements in foreign exchange rates that affect certain foreign currency
denominated sales and purchase transactions. KLA-Tencor attempts to match the
forward contracts with the underlying items being hedged in terms of currency,
amount, and maturity. KLA-Tencor does not use derivative financial instruments
for speculative or trading purposes. Since the impact of movements in currency
exchange rates on forward contracts offsets most of the related impact on the
exposures hedged, these financial instruments generally do not subject
KLA-Tencor to speculative risk that would otherwise result from changes in
currency exchange rates.

KLA-Tencor discontinues hedge accounting prospectively when (1) it is
determined that a derivative is no longer effective in offsetting changes in the
cash flows of a hedged item; (2) the derivative expires or is sold, terminated
or exercised; (3) the derivative is discontinued as a hedge instrument because
it is unlikely the underlying hedged transaction will occur; (4) because a
hedged firm commitment no longer meets the definition of a firm commitment; or
(5) management determines that designation of the derivative as a hedge
instrument is no longer appropriate.

In all situations in which hedge accounting is discontinued, the
derivative will be carried at its fair value on the balance sheet, with changes
in its fair value recognized in current period earnings. When hedge accounting
is discontinued because it is probable that a forecasted transaction will not
occur, the related amounts that were accumulated in other comprehensive income
are recognized immediately in earnings.

At June 30, 2001, KLA-Tencor had foreign exchange forward contracts
maturing throughout fiscal 2002 to sell and purchase $219 million and $60
million, respectively, in foreign currency, primarily Japanese yen. At June 30,
2000, KLA-Tencor had foreign exchange forward contracts maturing throughout
fiscal 2001 to sell and purchase $289 million and $85 million, respectively, in
foreign currency, primarily Japanese yen. All foreign exchange forward contracts
are carried on the consolidated balance sheets at fair market value.


45
46

See Note 8 for further information related to derivatives and hedging
activities.

WARRANTY KLA-Tencor generally warrants its systems for a period of 12
months for material and labor to repair and service the system. A provision for
the estimated cost of warranty is recorded when initial revenue is recognized on
the system.

REVENUE RECOGNITION In December 1999, the staff of the Securities and
Exchange Commission (SEC) issued Staff Accounting Bulletin ("SAB") No. 101,
"Revenue Recognition in Financial Statements." The SEC Staff addressed several
issues in SAB 101, including the timing of revenue recognition for sales that
involve contractual customer acceptance provisions and installation of the
product if these events occur after shipment and transfer of title. KLA-Tencor
implemented the provisions of SAB 101 in the fourth fiscal quarter of 2001,
retroactive to July 1, 2000.

KLA-Tencor derives revenues from four sources -- system sales, spare
part sales, service contracts and software license fees. SAB 101 has no impact
on KLA-Tencor's revenue recognition policy for spare part sales, service
contracts and software license fees.

For system sales, historically, system revenue was recognized when the
product was shipped, risk of loss had passed to the customer and collection of
the resulting receivable was probable. Effective July 1, 2000, KLA-Tencor
changed its method of accounting for system sales to generally recognize revenue
upon a positive affirmation by the customer that the system has been installed
and is operating according to pre-determined specifications. In addition,
KLA-Tencor does not recognize any revenue on shipments until legal title passes
to the customer. In practice, this affects primarily shipments to Japan where
legal title generally does not pass until technical acceptance. In certain
limited cases, KLA-Tencor may deviate from the need for a written acceptance by
the customer. Examples of these cases include:

- When the system requires no installation or integration, revenue
is recognized on shipment.

- When the customer fab has already accepted the same tool, with
the same specifications, for the same application, revenue due
on shipment of the product is recognized at the time of
shipment.

- When the system is performing in production to published and
contractually agreed specifications and customer signature is
withheld due to warranty or other limited issues, revenue may be
recognized.

Spares revenue is recognized when the product has been shipped, risk of
loss has passed to the customer and collection of the resulting receivable is
probable.

Service and maintenance revenue is recognized ratably over the term of
the maintenance contract. If maintenance is included in an arrangement, which
includes a license agreement, amounts related to maintenance are allocated based
on vendor specific objective evidence. In situations where


46
47

maintenance is to be provided over a period beyond twelve months from the
balance sheet date, the portion of revenue relating to those services is
classified as noncurrent deferred revenue. Consulting and training revenue is
recognized when the related services are performed.

Revenue from license fees is typically recognized upon shipment of the
software if collection of the resulting receivable is probable, the fee is fixed
or determinable, and vendor-specific objective evidence exists to allocate a
portion of the total fee to any undelivered elements of the arrangement. Such
undelivered elements in these arrangements typically consist of services and/or
upgrades. If vendor-specific objective evidence does not exist for the
undelivered elements of the arrangement, all revenue is deferred until such
evidence does exist, or until all elements are delivered, whichever is earlier.
In instances where an arrangement to deliver software requires significant
modification or customization, license fees are recognized under the percentage
of completion method of contract accounting. Allowances are established for
potential product returns and credit losses. To date, revenues from license fees
have been less than ten percent of total revenues.

As a result of implementing SAB 101, KLA-Tencor changed its method of
accounting for revenue recognition. This change resulted in a cumulative
deferred revenue of $660.9 million as of June 30, 2000, which was recorded as a
non-cash charge of $306.4 million (after reduction for income taxes of $147.5
million), or a charge of $1.59 per share, to reflect the cumulative effect of
the accounting change as of the beginning of the fiscal year. The deferred
profit balances as of July 1, 2000 was $453.9 million. Deferred profit equals
the amount of system revenue that was shipped, but deferred under SAB 101 less
all applicable product and warranty costs. Of the $453.9 million in deferred
profit, $411.9 million was recognized as gross profit in fiscal 2001. The
results for the first three quarters of fiscal year ended June 30, 2001 have
been restated in accordance with SAB 101. Pro forma amounts for the periods
beginning before July 1, 2000 have not been presented as the effect of the
change in accounting principle could not be reasonably determined.

ADVERTISING EXPENSES KLA-Tencor expenses advertising costs as incurred.
Advertising expenses for fiscal 2001, 2000 and 1999 were approximately $6
million, $6 million and $4 million respectively.

STRATEGIC DEVELOPMENT AGREEMENTS Net engineering, research and
development expenses were partially offset by $8 million, $16 million and $14
million in external funding received under certain strategic development
programs conducted with several of KLA-Tencor's customers in fiscal 2001, 2000
and 1999, respectively.

INCOME TAXES KLA-Tencor accounts for income taxes under an asset and
liability approach. Deferred tax liabilities are recognized for future taxable
amounts and deferred tax assets are recognized for future deductions.

EARNINGS PER SHARE Basic earnings per share is computed by dividing net
income available to common stockholders by the weighted average number of common
shares outstanding during the period. Diluted earnings per share is computed by
using the weighted average number of common shares outstanding during the period
and gives effect to all dilutive potential common shares outstanding during the
period. The reconciling difference between the computation of basic and


47
48

diluted earnings per share for all periods presented is the inclusion of the
dilutive effect of stock options issued to employees under employee stock option
plans.

Options to purchase 4,459,862, 211,009 and 1,520,574 shares were
outstanding at June 30, 2001, 2000 and 1999 respectively, but not included in
the computation of diluted EPS because the exercise price was greater than the
average market price of common shares in each respective year. The exercise
price ranges of these options were $44.69 to $68.00, $56.31 to $68.00 and $21.13
to $34.94 at June 30, 2001, 2000 and 1999, respectively.

STOCK-BASED COMPENSATION PLANS KLA-Tencor accounts for its employee
stock option plans and employee stock purchase plan in accordance with
provisions of APB 25, "Accounting for Stock Issued to Employees." KLA-Tencor
provides additional pro forma disclosure required by SFAS 123, "Accounting for
Stock-Based Compensation" (see Note 6).

RECLASSIFICATIONS Certain amounts in fiscal years prior to 2001 have
been reclassified to conform to the current financial statement presentation.

RECENT ACCOUNTING PRONOUNCEMENTS In July 2001, the Financial Accounting
Standards Board (FASB) issued Statement Nos. 141 and 142 (SFAS 141 and SFAS
142), "Business Combinations" and "Goodwill and Other Intangible Assets,"
respectively. SFAS 141 replaces APB 16 and eliminates pooling-of-interests
accounting prospectively. It also provides guidance on purchase accounting
related to the recognition of intangible assets and accounting for negative
goodwill. SFAS 142 changes the accounting for goodwill from an amortization
method to an impairment-only approach. Under SFAS 142, goodwill will be tested
annually and whenever events or circumstances occur indicating that goodwill
might be impaired. SFAS 141 and SFAS 142 are effective for all business
combinations completed after June 30, 2001. Upon adoption of SFAS 142,
amortization of goodwill recorded for business combinations consummated prior to
July 1, 2001 will cease, and intangible assets acquired prior to July 1, 2001
that do not meet the criteria for recognition under SFAS 141 will be
reclassified to goodwill. Companies are required to adopt SFAS 142 for fiscal
years beginning after December 15, 2001, but early adoption is permitted. In
connection with the adoption of SFAS 142, KLA-Tencor will be required to perform
a transitional goodwill impairment assessment. KLA-Tencor is currently studying
these standards and has not yet determined what impact they will have on its
results of operations and financial position.

In August 2001, the FASB issued Statement No. 143 ("SFAS 143"),
"Accounting for Asset Retirement Obligations," which is effective for fiscal
years beginning after June 15, 2002. SFAS 143 addresses financial accounting and
reporting for obligations associated with the retirement of tangible long-lived
assets and the associated asset retirement costs. The Statement applies to all
entities. It applies to legal obligations associated with the retirement of
long-lived assets that result from the acquisition, construction, development,
and (or) the normal operation of a long-lived asset, except for certain
obligations of lessees. KLA-Tencor does not expect the adoption of SFAS 143 to
have a significant impact on its financial position and results of operations.


48
49

NOTE 2 -- FINANCIAL STATEMENT COMPONENTS

BALANCE SHEETS

<TABLE>
<CAPTION>
June 30, (in thousands) 2000 2001
----------------------------------------------------------------------
<S> <C> <C>
Accounts receivable, net
Accounts receivable, gross $ 496,739 $ 417,025
Allowance for doubtful accounts (14,789) (15,012)
----------------------------------------------------------------------
$ 481,950 $ 402,013
======================================================================


Inventories:
Customer service parts $ 54,442 $ 99,099
Raw materials 83,103 140,765
Work-in-process 82,922 61,453
Demonstration equipment 50,817 60,228
Finished goods 11,205 32,861
----------------------------------------------------------------------
$ 282,489 $ 394,406
======================================================================

Property and equipment:
Land $ 16,187 $ 30,968
Buildings and improvements 20,860 49,102
Machinery and equipment 183,985 267,030
Office furniture and fixtures 26,977 35,571
Leasehold improvements 94,466 100,494
----------------------------------------------------------------------
342,475 483,165
Less: accumulated depreciation
and amortization (142,756) (192,911)
----------------------------------------------------------------------
$ 199,719 $ 290,254
======================================================================

Other current liabilities:
Warranty, installation and retrofit $ 79,874 $ 85,300
Compensation and benefits 180,365 186,699
Unearned revenue 22,412 70,974
Income taxes payable 88,037 91,239
Restructuring accrual 1,686 2,235
Other accrued expenses 67,437 64,844
----------------------------------------------------------------------
$ 439,811 $ 501,291
======================================================================
</TABLE>


49
50

<TABLE>
<CAPTION>
June 30, (in thousands) 2000 2001
------------------------------------------------------------------------
<S> <C> <C>
Accumulated other comprehensive income:
Currency translation adjustments $ (3,146) $ (15,154)
Gains on cash flow hedging instruments -- 3,432
Unrealized gains on investments, net of taxes
of $10,613 in 2000 and $9,044 in 2001 16,811 14,326
------------------------------------------------------------------------
$ 13,665 $ 2,604
========================================================================
</TABLE>

STATEMENTS OF OPERATIONS

<TABLE>
<CAPTION>
Year ended June 30, (in thousands) 1999 2000 2001
-------------------------------------------------------------------------------
<S> <C> <C> <C>
Interest income and other, net
Interest income $ 38,403 $ 39,335 $ 39,652
Interest expense (1,293) (698) (1,057)
Foreign exchange gain 1,136 3,791 8,478
Realized gain (loss) on sale of
marketable securities 18,819 (5,306) 7,703
Other 3,578 4,414 (660)
---------------------------------------------------------------------------------
$ 60,643 $ 41,536 $ 54,116
================================================================================
</TABLE>


NOTE 3 - NON-RECURRING ACQUISITION, RESTRUCTURING AND OTHER CHARGES (CREDITS)

Non-recurring acquisition, restructuring and other charges (credits)
were $(2) million, $(5) million and $43 million in fiscal 2001, 2000, and 1999,
respectively. These charges (credits) are directly attributable to non-recurring
acquisition charges incurred as KLA-Tencor continues to pursue a course of
strategic acquisitions and alliances to expand its technologies, product
offerings and distribution capabilities and to restructuring charges incurred in
connection with its fiscal 1999 and fiscal 2001 restructure plans that are
offset by non-recurring income in fiscal 2001.

Non-recurring acquisition charges for the year ended June 30, 2001

In April 2001, KLA-Tencor purchased substantially all of the assets of
Phase Metrics, Inc. ("Phase Metrics"), a privately held company, for a total of
approximately $18.9 million in cash, including approximately $1.3 million in
acquisition costs. Phase Metrics is a supplier of inspection/certification
technologies to the data storage industry. The total purchase price was
allocated to the net tangible assets of $13.1 million, identifiable intangible
assets of $4.9 million, in-process research and development of $0.7 million, on
the basis of their relative fair values, with the excess of $0.2 million to
goodwill.


50
51

No supplemental pro forma information is presented due to the immaterial
effect on prior period results of operations.

In-process research and development represented the value of products
that were not considered to have reached technological feasibility. To determine
the value of the in-process technology of the fiscal 2001 acquisition, the
expected future cash flows attributable to the in-process technology were
discounted at 30%, taking into account the percentage of completion, utilization
of pre-existing technology, risks related to the characteristics and
applications of the technology, existing and future markets, and technological
risk associated with completing the development of the technology. The valuation
approach used was a form of discounted cash flow approach commonly known as the
"percentage of completion" approach whereby the cash flows from the technology
are multiplied by the percentage of completion of the in-process technology.

Non-recurring acquisition charges for the year ended June 30, 2000

In March 2000, KLA-Tencor purchased assets and related technology of Fab
Solutions, a division of ObjectSpace, Inc. for an aggregate purchase price of $8
million. Fab Solutions is a leading provider of advanced process control
software solutions used to respond to yield-impacting parametric data in
near-real time. KLA-Tencor recorded a charge of $0.8 million for purchased
in-process research and development, representing the appraised value of
products that were not considered to have reached technological feasibility.

In February 2000, KLA-Tencor acquired software developer FINLE
Technologies, Inc., a supplier of lithography modeling and data analysis
software used to speed development of advanced lithography processes required to
develop and produce integrated circuits with 0.12 micron and smaller geometries,
for an aggregate purchase price of $5 million. KLA-Tencor recorded a charge of
$0.5 million for purchased in-process research and development, representing the
appraised value of products that were not considered to have reached
technological feasibility.

In November 1999, KLA-Tencor acquired software developer ACME Systems,
Inc., a leading supplier of yield engineering analysis software used to
correlate parametric electrical test and wafer sort yield data with in-line work
in process and metrology data, for an aggregate purchase price of $6.9 million.
KLA-Tencor recorded a charge of $1.9 million for purchased in-process research
and development, representing the appraised value of products that were not
considered to have reached technological feasibility.

No supplemental pro forma information is presented due to the immaterial
effect on prior period results of operations.

To determine the value of the in-process technology of the fiscal 2000
acquisitions, the expected future cash flow attributable to the in-process
technology was discounted, taking into account the percentage of completion,
utilization of pre-existing technology, risks related to the characteristics and
applications of the technology, existing and future markets, and technological
risk associated with completing the development of the technology. The valuation
approach used was a form of discounted cash flow approach commonly known as the
"percentage of completion"


51
52

approach whereby the cash flows from the technology are multiplied by the
percentage of completion of the in-process technology. In each acquisition, the
value of tangible net assets acquired was nominal.

Non-recurring acquisition charges for the year ended June 30, 1999

In December 1998, KLA-Tencor purchased assets and related technology
from Uniphase Corporation for an aggregate purchase price of $3 million. The
confocal laser review station technology acquired is currently used for analysis
of defects on silicon wafers. Assets acquired of $3 million consisted primarily
of inventory.

In November 1998, KLA-Tencor purchased assets and technology from
Keithley Instruments, Inc. for an aggregate purchase price of $10 million. The
corona wire gate oxide monitoring tool technology KLA-Tencor acquired had not
yet reached the alpha stage and the cost to complete the development of this
equipment was estimated at the time of acquisition to be $1 million. KLA-Tencor
recorded a charge of $8 million for purchased in-process research and
development, representing the appraised value of products that were not
considered to have reached technological feasibility.

In June 1998, KLA-Tencor acquired Groff Associates, Inc. (dba VARS Inc.)
for an aggregate purchase price of $13 million. The digital and
in-line-monitoring image archiving retrieval software technology KLA-Tencor
acquired had not yet reached the alpha stage and the cost to complete the
development of these software products was estimated at the time of acquisition
to be $2 million. KLA-Tencor recorded a charge of $13 million for purchased
in-process research and development, representing the appraised value of
products that were not considered to have reached technological feasibility.

In May 1998 KLA-Tencor acquired DeviceWare, Inc., a company in its
development stage, for an aggregate purchase price of $3 million. The bit
mapping defect characterization technology acquired had not yet reached the
alpha stage and the cost to complete the development of this software product
was estimated at the time of acquisition to be $1 million. KLA-Tencor recorded a
charge of $3 million for purchased in-process research and development,
representing the appraised value of product that was not considered to have
reached technological feasibility.

No supplemental pro forma information is presented due to the immaterial
effect on prior period results of operations.

For each of the above three fiscal 1999 transactions, the appraised
value under the income approach used for its calculation did not differ
materially from the result under the percentage of completion approach preferred
by the Securities and Exchange Commission. The value of the tangible net assets
acquired was nominal.

Each of the above acquisitions was accounted for using the purchase
method of accounting and the developmental products acquired were evaluated in
the context of Interpretation 4 of SFAS 2 and SFAS 86. The allocation of the
purchase price to in-process research and development cost was


52
53

determined by identifying research projects in areas for which technological
feasibility had not been established and no alternative future uses existed.
Substantially all of the in-process research and development projects acquired
were expected to be complete and generating revenues within the 24 months
following the acquisition date.

Development of acquired technologies remains a significant risk due to
the remaining effort required to achieve technical feasibility, rapidly changing
customer markets and significant competitive threats from numerous companies.
Failure to bring any of these products to market in a timely manner could
adversely affect its sales and profitability in the future. Additionally, the
value of net assets and other intangible assets acquired may become impaired.

Restructuring and Other Charges (Credits)

During fiscal 2001, in response to the downturn in the semiconductor
industry KLA-Tencor implemented a restructuring plan to control spending. Its
restructuring plan included three main categories: facilities of $4.7 million,
severance and benefits of $1.6 million, and other costs of $1.0 million. Due to
its downsizing and consolidation of certain of its operations, KLA-Tencor will
vacate two of its leased office buildings and have included the remaining net
book value of the related leasehold improvements as well as the future lease
payments, net of anticipated sublease revenue in the charge. KLA-Tencor has
reduced its workforce by approximately 5%, primarily in the manufacturing areas
and recorded severance charges related to this termination. As of June 30, 2001
the accrual associated with this restructuring aggregated $2.0 million. In
addition, during the fourth fiscal quarter of 2001, KLA-Tencor sold software and
intellectual property associated with its iSupport(TM) on-line customer support
technology and recorded $10.0 million pretax, non-recurring income, which was
netted with the other non-recurring charges.

During fiscal 1999, KLA-Tencor implemented a restructuring plan to
address the impact on its business of the downturn in the semiconductor
industry. Estimated restructuring costs of $35 million were classified in four
main categories: facilities, inventory, severance and benefits, and other
restructuring costs. Facilities costs of $12 million included $8 million for
lease expense resulting from consolidation and closure of certain offices
located primarily in the United States and Japan; $3 million for leasehold
improvements impaired in those facilities; and $1 million in other
facilities-related exit costs. Inventory-related costs of $10 million resulted
from impaired assets related to unique parts and non-cancelable purchase
commitments of certain development programs, which were terminated as part of
the realignment and streamlining of its product lines. Severance and
benefit-related costs of $8 million included involuntary termination of
approximately 250 personnel from manufacturing, engineering, sales, marketing,
and administration throughout the United States, Japan and Europe. Other
restructuring costs of $5 million related primarily to the write-off of software
licenses and related non-cancelable maintenance contracts for closed locations.
During fiscal year 2000, KLA-Tencor management determined that $7.8 million of
the restructure reserve would not be utilized because of a change in
management's plans for utilization of certain facilities resulting from an
increase in demand for the Company's products. Accordingly, the restructuring
reserve reversal was included in the determination of income from operations for
the year ended June 30, 2000.

NOTE 4 -- MARKETABLE SECURITIES

The amortized costs and estimated fair value of securities available for
sale as of June 30, 2000 and 2001 are as follows:

53
54

<TABLE>
<CAPTION>
Gross Gross
Amortized Unrealized Unrealized Fair
June 30, 2000 (in thousands) Cost Gains Losses Value
----------------------------------------------------------------------------------------------
<S> <C> <C> <C> <C>
U.S. Treasuries $ 33,545 $ 24 $ 348 $ 33,221
Mortgage-backed securities 37,282 2 663 36,621
Municipal bonds 580,328 633 1,236 579,725
Corporate debt securities 187,919 28 563 187,384
Corporate equity securities 26,047 14,427 45 40,429
Other 33,216 -- -- 33,216
----------------------------------------------------------------------------------------------
898,337 15,114 2,855 910,596

Less: Cash equivalents 424,429 -- 4 424,425
Short-term marketable securities 105,569 14,455 92 119,932
----------------------------------------------------------------------------------------------
Long-term marketable securities $ 368,339 $ 659 $ 2,759 $ 366,239
==============================================================================================
</TABLE>


<TABLE>
<CAPTION>
Gross Gross
Amortized Unrealized Unrealized Fair
June 30, 2001 (in thousands) Cost Gains Losses Value
----------------------------------------------------------------------------------------------
<S> <C> <C> <C> <C>
U.S. Treasuries $ 27,973 $ 272 $ 17 $ 28,228
Mortgage-backed securities 39,134 515 40 39,608
Municipal bonds 756,756 5,150 33 761,873
Corporate debt securities 25,795 272 24 26,043
Corporate equity securities 24,442 17,273 -- 41,715
Other 147,872 -- -- 147,872
----------------------------------------------------------------------------------------------
1,021,972 23,482 114 1,045,339

Less: Cash equivalents 428,153 -- -- 428,153
Short-term marketable securities 149,148 18,273 -- 167,421
----------------------------------------------------------------------------------------------
Long-term marketable securities $ 444,671 $ 5,209 $ 114 $ 449,765
==============================================================================================
</TABLE>

The contractual maturities of securities classified as available for
sale as of June 30, 2001, regardless of the consolidated balance sheet
classification, are as follows:

<TABLE>
<CAPTION>
Estimated
June 30, 2001 (in thousands) Fair Value
-------------------------------------------------------------------
<S> <C>
Due within one year $ 557,321
Due after one year through five years 434,886
Due after five years 11,417
-------------------------------------------------------------------
$ 1,003,624
===================================================================
</TABLE>


54
55

Actual maturities may differ from contractual maturities because
borrowers may have the right to call or prepay obligations with or without call
or prepayment penalties. Net realized gains and losses for the years ended June
30, 2000 and 2001 were not material to KLA-Tencor's financial position or
results of operations.


NOTE 5 - INCOME TAXES

The components of income before income taxes are as follows:

<TABLE>
<CAPTION>
Year ended June 30, (in thousands) 1999 2000 2001
----------------------------------------------------------------------------------------------
<S> <C> <C> <C>
Domestic income before income taxes $ 30,097 $ 311,240 $ 437,329
Foreign income before income taxes 20,212 41,837 75,255
----------------------------------------------------------------------------------------------
Total net income before taxes $ 50,309 $ 353,077 $ 512,584
==============================================================================================
</TABLE>

The provision (benefit) for income taxes are comprised of the following:

<TABLE>
<CAPTION>
Year ended June 30, (in thousands) 1999 2000 2001
----------------------------------------------------------------------------------------------
<S> <C> <C> <C>
Current:
Federal $ 22,902 $ 121,639 $ 162,491
State 7,040 23,187 15,129
Foreign 9,085 14,975 17,578
----------------------------------------------------------------------------------------------
39,027 159,801 195,198
Deferred:
Federal (22,256) (44,893) (51,782)
State (6,273) (13,958) (4,549)
Foreign 599 (1,671) 659
----------------------------------------------------------------------------------------------
(27,930) (60,522) (55,672)
----------------------------------------------------------------------------------------------
Provision for income taxes $ 11,097 $ 99,279 $ 139,526
==============================================================================================
</TABLE>

Actual current tax liabilities are lower than reflected above for fiscal
years 2001, 2000 and 1999 by $56 million, $132 million and $14 million,
respectively, due to the stock option deduction benefits recorded as credits to
capital in excess of par value.

The significant components of deferred income tax assets (liabilities) are as
follows:

<TABLE>
<CAPTION>
June 30, (in thousands) 2000 2001
------------------------------------------------------------------------------------
<S> <C> <C>
Deferred tax assets:
Federal and state loss and credit carryforwards $ 37,796 $ 19,123
Employee benefits accrual 32,834 34,789
Non-deductible reserves and other 144,065 226,425
Deferred profit -- 164,753
------------------------------------------------------------------------------------
</TABLE>


55
56

<TABLE>
<S> <C> <C>
214,695 445,090
--------------------------------------------------------------------------------------
Deferred tax liabilities:
Depreciation (7,473) (3,638)
Unremitted earnings of foreign subsidiaries not
permanently reinvested (12,070) (12,114)
Unrealized gain on investments (10,613) (9,037)
Other (4,952) (35,952)
--------------------------------------------------------------------------------------
(35,108) (60,741)
--------------------------------------------------------------------------------------
Total net deferred tax assets $ 179,587 $ 384,349
======================================================================================
</TABLE>

The reconciliation of the United States federal statutory income tax
rate to KLA-Tencor's effective income tax rate is as follows:

<TABLE>
<CAPTION>
Year ended June 30, 1999 2000 2001
-----------------------------------------------------------------------------------------------
<S> <C> <C> <C>
Federal statutory rate 35.0% 35.0% 35.0%
State income taxes, net of federal benefit 1.0 1.7 1.3
Effect of foreign operations taxed at various rates 4.8 (0.6) (1.6)
Benefit from Foreign Sales Corporation (3.3) (2.9) (3.7)
Research and development tax credit (1.2) (2.5) (3.0)
Tax exempt interest (11.8) (1.6) (1.5)
Other (2.4) (1.0) 0.7
-----------------------------------------------------------------------------------------------
Provision for Income Taxes 22.1% 28.1% 27.2%
===============================================================================================
</TABLE>

Undistributed earnings of certain of KLA-Tencor's foreign subsidiaries,
which United States federal income taxes of approximately $15.4 million have not
been provided for, aggregated $44 million at June 30, 2001.


NOTE 6 - STOCKHOLDERS' EQUITY AND EMPLOYEE BENEFITS

STOCKHOLDER'S RIGHTS PLAN In March 1989, KLA-Tencor implemented a plan
to protect stockholders' rights in the event of a proposed takeover of
KLA-Tencor. Each stockholder under the plan is entitled to one right per common
stock owned. The Plan was amended in April 1996. The Plan provides that if any
person or group acquires 15% or more of KLA-Tencor's common stock, each right
not owned by such person or group will entitle its holder to purchase, at the
then-current exercise price, KLA-Tencor's common stock at a value of twice that
exercise price. As amended to date, under the Plan, the rights are redeemable at
KLA-Tencor's option for $0.01 per right and expire in April 2006.

STOCK REPURCHASE PROGRAM In July 1997, the Board of Directors authorized
KLA-Tencor to systematically repurchase shares of its common stock in the open
market. This plan was entered into to reduce the dilution from KLA-Tencor's
employee benefit and incentive plans such as the stock option and employee stock
purchase plans. In fiscal years 2001, 2000 and 1999, KLA-Tencor repurchased
4,580,000, 520,000 and 2,152,000 shares at an average price of $33.54, $53.80
and


56
57

$22.66 per share, respectively. Since the inception of the repurchase program in
1997 through June 30, 2001, KLA-Tencor has repurchased a total of 8,008,000
shares at an average price of $30.77 per share. All such shares remain as
treasury shares.

STOCK SPLIT For stockholders of record on January 4, 2000, KLA-Tencor
effected a two-for-one stock split of its common stock in the form of a 100
percent stock dividend. The stock dividend was paid on January 18, 2000. All
prior-period share and per share amounts have been adjusted to reflect this
transaction retroactively.

EMPLOYEE STOCK PURCHASE PLAN KLA-Tencor's employee stock purchase plan
provides that eligible employees may contribute up to 10% of their eligible
earnings toward the semi-annual purchase of KLA-Tencor's common stock. The
employee's purchase price is derived from a formula based on the fair market
value of the common stock. No compensation expense is recorded in connection
with the plan. In fiscal years 2001, 2000 and 1999, employees purchased
1,275,837, 1,935,031 and 1,639,334 at a weighted average fair value of shares
issued of $28.59, $13.28 and $5.24, respectively. At June 30, 2001, 757,614
shares were reserved and available for issuance under this plan.

STOCK OPTION AND INCENTIVE PLANS KLA-Tencor has authorized various stock
option and management incentive plans for selected employees, officers,
directors, and consultants. The plans provide for awards in the form of stock
options, stock appreciation rights, stock purchase rights, and performance
shares. As of June 30, 2001, only stock options have been awarded under the
plans.

Under KLA-Tencor's stock option plans, options generally have vesting
periods of four or five years, are exercisable for a period not to exceed ten
years from the date of issuance and are granted at prices not less than the fair
market value of KLA-Tencor's common stock at the grant date.

In fiscal 2001, KLA-Tencor's Board of Directors approved an additional
stock option plan authorizing 5,600,000 options. Officer and directors are not
eligible to receive options granted under this plan.

In December 2000, employees of KLA-Tencor were offered the opportunity
to exchange their stock options with exercise prices over $55.00 per share and
all subsequently issued options for a promise to issue new options no sooner
than six months after the cancellation of the forfeited options. The new options
were granted on July 10, 2001 with an exercise price equal to the NASDAQ closing
price on the same day. The terms of the new option would replicate the
surrendered option. A total of 278 employees canceled 722,814 options with
exercise prices ranging from $26.25 to $68.00 per share.

The activity under the option plans, combined, was as follows:


57
58

<TABLE>
<CAPTION>
Weighted-
Available Options Average
For Grant Outstanding Price
---------------------------------------------------------------------------------------
<S> <C> <C> <C>
Balances at June 30, 1998 3,498,526 23,189,530 $ 14.56
Additional shares reserved 7,237,674 -- --
Options granted (15,311,226) 15,311,226 12.12
Options canceled/expired 11,083,092 (11,083,092) 21.03
Options exercised -- (2,989,360) 7.71
---------------------------------------------------------------------------------------
Balances at June 30, 1999 6,508,066 24,428,304 10.92
Additional shares reserved 5,320,924 -- --
Options granted (8,165,856) 8,165,856 37.35
Options canceled/expired 1,483,568 (1,551,794) 18.62
Options exercised -- (8,686,654) 9.50
---------------------------------------------------------------------------------------
Balances at June 30, 2000 5,146,702 22,355,712 20.23
Additional shares reserved 11,216,391 -- --
Options granted (10,273,504) 10,273,504 37.09
Options canceled/expired 2,418,485 (2,418,485) 36.15
Options exercised -- (3,921,145) 14.71
---------------------------------------------------------------------------------------
Balances at June 30, 2001 8,508,074 26,289,586 $ 26.18
=======================================================================================
</TABLE>

The options outstanding at June 30, 2001 have been segregated into
ranges for additional disclosure as follows:

<TABLE>
<CAPTION>
Options Vested
Options Outstanding and Exercisable
-------------------------------------------------------------- ----------------------------
Weighted- Weighted- Weighted-
Number Average Average Average
Range of of Shares Remaining Exercise Number Exercise
Exercise Outstanding at Contract Life Price at Vested and Price at
Prices June 30, 2001 (in years) June 30, 2001 Exercisable June 30, 2001
-------------------------------------------------------------- ----------------------------
<S> <C> <C> <C> <C> <C>
$ 1.88-$ 9.31 1,353,862 3.20 $ 8.31 1,350,189 $ 8.31
$ 9.53-$10.63 6,513,811 7.13 $10.60 3,771,571 $ 10.59
$10.81-$22.56 2,635,338 6.30 $14.32 1,851,472 $ 13.86
$23.25-$28.06 3,001,576 9.07 $26.17 308,792 $ 24.63
$28.22-$32.75 2,825,351 9.50 $32.34 149,439 $ 30.36
$33.75-$44.13 5,133,256 8.39 $34.56 1,816,051 $ 34.36
$44.69-$44.69 3,641,130 9.12 $44.69 309,625 $ 44.69
$46.28-$68.00 1,185,262 9.16 $50.72 250,111 $ 50.93
---------------------------------------------------------------------------------------------
$ 1.88-$68.00 26,289,586 7.93 $26.18 9,807,250 $ 18.14
=============================================================================================
</TABLE>


58
59

The weighted average fair value of options granted in fiscal years 2001,
2000 and 1999 was $25.93, $24.15 and $7.47, respectively. Options exercisable
were 9,807,250, 6,777,749 and 7,484,476 as of June 30, 2001, 2000 and 1999,
respectively.

ACCOUNTING FOR STOCK-BASED COMPENSATION Pro forma information regarding
net income and net income per share is required by SFAS 123, and has been
determined as if KLA-Tencor had accounted for its employee stock purchase plan
and employee stock options granted subsequent to June 30, 1995, under the fair
value method of SFAS 123. The fair value of each option grant is estimated on
the date of grant using the Black-Scholes option valuation model for the single
option approach with the following weighted-average assumptions:

<TABLE>
<CAPTION>
June 30, 1999 2000 2001
-------------------------------------------------------------------------------
<S> <C> <C> <C>
Stock option plan:
Expected stock price volatility 65.0% 70.0% 80.0%
Risk free interest rate 5.0% 6.3% 5.5%
Expected life of options (in years) 5.6 5.3 5.4

Stock purchase plan:
Expected stock price volatility 65.0% 70.0% 80.0%
Risk free interest rate 4.8% 6.3% 4.3%
Expected life of options (in years) 1-2 1-2 1-2
</TABLE>

The Black-Scholes option valuation model was developed for use in
estimating the fair value of traded options which have no vesting restrictions
and are fully transferable. In addition, option valuation models require the
input of highly subjective assumptions including the expected stock price
volatility. Because KLA-Tencor's employee stock option and employee stock
purchase plans have characteristics significantly different from those of traded
options, and because changes in the subjective input assumptions can materially
affect the fair value estimate, in management's opinion, the existing models do
not necessarily provide a reliable single measure of the fair value of such
Company options.

For purposes of pro forma disclosures required by SFAS 123, the
estimated fair value of the options is amortized to expense over the options'
vesting periods. KLA-Tencor's pro forma information is as follows:

<TABLE>
<CAPTION>
Year ended June 30,
(in thousands, except per share data) 1999 2000 2001
--------------------------------------------------------------------------------------
<S> <C> <C> <C>
Pro-forma income before cumulative effect $ 5,278 $ 197,610 $ 279,362
of change in accounting principle
Pro-forma net income (loss) including
cumulative effect of change in accounting
principle $ 5,278 $ 197,610 $ (27,013)
</TABLE>


59
60

<TABLE>
<S> <C> <C> <C>
Pro-forma earnings per share:
Income before cumulative effect of
change in accounting principle
Basic $ 0.03 $ 1.08 $ 1.50
Diluted $ 0.03 $ 1.05 $ 1.50

Net income (loss) including cumulative
effect of change in accounting principle
Basic $ 0.03 $ 1.08 $ (0.15)
Diluted $ 0.03 $ 1.05 $ (0.15)
</TABLE>

The pro forma effect on net income and earnings per share for fiscal
1999 is not representative of the pro forma effect net income in future years
because it does not take into consideration pro forma compensation expense
related to grants made prior to fiscal 1996.

OTHER EMPLOYEE BENEFIT PLANS KLA-Tencor has a profit sharing program for
eligible employees which distributes, on a quarterly basis, a percentage of
pretax profits. In addition, KLA-Tencor has an employee savings plan that
qualifies as a deferred salary arrangement under Section 401(k) of the Internal
Revenue Code. During fiscal year 1999, KLA-Tencor matched up to a maximum of
$500 or 25% of the first $2000 of an eligible employee's contribution. Starting
fiscal year 2000, KLA-Tencor has matched up to a maximum of $1,000 or 50% of the
first $2000 of an eligible employee's contribution, with $500 of the amount
funded from the profit sharing program. The total charge to operations under the
profit sharing and 401(k) programs aggregated $57 million, $38 million and $7
million in fiscal years 2001, 2000 and 1999, respectively.

KLA-Tencor has a non-qualified deferred compensation plan whereby
certain key executives may defer a portion of their salary and bonus.
Participants direct the investment of their account balances among mutual funds
selected by the participants. Distributions from the plan commence the quarter
following a participant's retirement or termination of employment. At June 30,
2001, KLA-Tencor had a deferred compensation liability under the plan of $59
million.


NOTE 7 - COMMITMENTS AND CONTINGENCIES

FACTORING KLA-Tencor has agreements with two banks to sell certain of
its trade receivables and promissory notes. During fiscal 2001, approximately
$124.2 million of receivables were sold under these arrangements. As of June 30,
2001, approximately $52.4 million were outstanding. Of this amount, $44.5
million of trade receivables sold is with recourse to KLA-Tencor and $7.9
million of promissory notes sold is without recourse to KLA-Tencor. The total
amount available under these facilities is the yen equivalent of $60 million and
$24 million, respectively, based upon exchange rates as of June 30, 2001.
KLA-Tencor does not believe it is materially at risk for any losses as a result
of these agreements.


60
61

FACILITIES In November 1997, KLA-Tencor entered into a master operating
lease for land, office and manufacturing facilities constructed for its use in
Milpitas and San Jose, California. Monthly payments under this lease vary based
upon the London Interbank Offering Rate (LIBOR) plus 0.42%. The lease runs
through November of 2002, with an option to extend up to two more years. Under
the terms of the lease, KLA-Tencor, at its option, can acquire the properties at
their original cost or arrange for the properties to be acquired. In April 1999,
KLA-Tencor chose to exercise its option to purchase certain of the land and
facilities for a total aggregate value of approximately $27.4 million. If
KLA-Tencor does not purchase the remaining properties by the end of the lease,
KLA-Tencor will be contingently liable to the lessor for residual value
guarantees aggregating up to approximately $100.2 million. In addition, under
the terms of the lease, KLA-Tencor must maintain compliance with certain
financial covenants. As of June 30, 2001, KLA-Tencor was in compliance with all
of its covenants. Based on current market conditions, management does not
believe that KLA-Tencor will have to make any significant payments under the
contingent liability relating to the residual value guarantees.

KLA-Tencor leases several other facilities under operating leases that
expire at various times through fiscal 2012, with renewal options at the fair
market value for additional periods up to five years. KLA-Tencor also leases
equipment and other facilities under operating leases.

Total rent expense under all operating leases was approximately $21.8
million, $17.5 million and $17.7 million for the years ended June 30, 2001, 2000
and 1999, respectively (which includes lease payments for KLA-Tencor's Milpitas
and San Jose, California facilities).

Future minimum lease commitments under these operating leases at June
30, 2001 (which include estimated lease payments for KLA-Tencor's Milpitas and
San Jose, California, facilities using a LIBOR of 3.81% plus 0.42% and total
construction costs of $119.3 million), are approximately $15.3 million, $12.5
million, $6.7 million, $3.4 million, $1.5 million, and $2.3 million in fiscal
2002 through 2007 and thereafter, respectively.

LAND PURCHASE In May 2000, KLA-Tencor entered into an agreement to
purchase up to 43 acres of land in Livermore, California to build a new campus.
The initial 31 acre parcel of land was purchased in the first quarter of fiscal
2001, for approximately $15.1 million. KLA-Tencor holds an option to purchase
the remaining 12 acres for approximately $5.7 million, which will expire at the
end of calendar 2001. KLA-Tencor is obligated for assessments not to exceed
approximately $3.4 million and $1.3 million for construction on the 31 acre and
12 acre lots, respectively.

LEGAL MATTERS From time to time KLA-Tencor is named as a party to
lawsuits in the normal course of its business. Litigation, in general, and
intellectual property and securities litigation in particular, can be expensive
and disruptive to normal business operations. Moreover, the results of complex
legal proceedings are difficult to predict. KLA-Tencor believes that it has
defenses in each of the cases set forth below and is vigorously contesting each
of these matters.



61
62
ADE Corporation

On October 11, 2000, ADE Corporation ("ADE"), a competitor, filed a
patent infringement lawsuit against KLA-Tencor in the U.S. District Court in
Delaware. ADE claimed damages and sought an injunction under U.S. Patent No.
6,118,525. KLA-Tencor filed a counterclaim in the same court alleging that ADE
has infringed four of its patents. KLA-Tencor claimed damages and a permanent
injunction against ADE. In addition, KLA-Tencor is seeking a declaration from
the District Court that ADE's patent is invalid and not infringed by KLA-Tencor.
While these matters are in a preliminary stage and KLA-Tencor cannot predict the
outcome, KLA-Tencor believes it has valid defenses and further believes that its
counterclaims have merit.

Schlumberger, Inc. and Rigg Systems, Inc.

On August 30, 1999, KLA-Tencor was named as a defendant in a lawsuit in
which Schlumberger, Inc. alleges trade secret misappropriation, unfair
competition and trade slander. On July 21, 2000, the court granted its motion
for summary judgment dismissing the case. Schlumberger, Inc. subsequently filed
a motion for reconsideration of that dismissal and its request for
reconsideration was denied. Schlumberger has now appealed. Although the outcome
of these claims cannot be predicted with certainty, KLA-Tencor does not believe
that this legal matter will have a material adverse effect on its financial
condition even if the plaintiff prevails. On January 26, 2000, KLA-Tencor filed
a complaint against Philip Rigg, RIGG Systems and Schlumberger, Inc. for
misappropriation of trade secrets, breach of contract, breach of fiduciary duty,
interference with contract, and unfair competition. The defendants filed
cross-complaints on June 5, 2000 asserting various statutory and common law
theories. Although the outcome of these claims cannot be predicted with
certainty, KLA-Tencor does not believe that these legal matters will have a
material adverse effect on its financial condition or results of operations even
if the plaintiff prevails.

Although KLA-Tencor cannot predict the outcome of these claims,
management does not believe that any of these legal matters will have a material
adverse effect on KLA-Tencor. Were an unfavorable ruling to occur in one or more
of the pending claims, there exists the possibility of a material impact on
KLA-Tencor's operating results for the period in which the ruling occurred.

NOTE 8 -- DERIVATIVE INSTRUMENTS AND HEDGING ACTIVITIES

Under its foreign-currency risk management strategy, KLA-Tencor utilizes
derivative instruments to protect its interests from unanticipated fluctuations
in earnings and cash flows caused by volatility in currency exchange rates. This
financial exposure is monitored and managed by KLA-Tencor as an integral part of
its overall risk management program which focuses on the unpredictability of
financial markets and seeks to reduce the potentially adverse effects that the
volatility of these markets may have on its operating results. KLA-Tencor
continues its policy of hedging its current and anticipated foreign currency
exposures with hedging instruments having tenors of up to 12 months.

On July 1, 2001, KLA-Tencor adopted SFAS 133, "Accounting for Derivative
Instruments and Hedging Activities" (SFAS 133). SFAS 133 requires that all
derivatives be recorded on the balance sheet at fair value. Changes in the fair
value of derivatives which do not qualify, or are not effective as hedges must
be recognized currently in earnings. Upon adoption KLA-Tencor recognized the
fair value of foreign currency forward contracts, previously held off balance
sheet, and reflected their fair value on the balance sheet. These were
principally offset by recording on the balance sheet the change in value of the
hedged item, generally forecasted shipments. KLA-Tencor did not separately
report a cumulative transition adjustment to earnings upon adoption of the
standard as the impact was immaterial. All derivatives were reflected at fair
value on the balance sheet at that date.


62
63

Cashflow Hedges

KLA-Tencor's international sales are primarily denominated in US
dollars. For foreign currency denominated sales, however, the volatility of the
foreign currency markets represents risk to KLA-Tencor's margins. KLA-Tencor
defines its exposure as the risk of changes in the
functional-currency-equivalent cash flows (generally US dollar) attributable to
changes in the related foreign currency exchange rates. Upon forecasting the
exposure, KLA-Tencor hedges with forward sales contracts whose critical terms
are designed to match those of the underlying exposure. These hedges are
evaluated for effectiveness at least quarterly using regression analysis.
Ineffectiveness is measured by comparing the change in value of the forward
contracts to the change in value of the underlying transaction, with the
effective portion of the hedge accumulated in Other Comprehensive Income (OCI).
Any measured ineffectiveness is included immediately in "Interest income and
other, net" in the Consolidated Statements of Operations. An immaterial amount
of ineffectiveness was recognized during the year. OCI associated with hedges of
foreign currency sales are reclassified to revenue upon recognition in income of
the underlying hedged exposure. All amounts reported in OCI at June 30, 2001 are
anticipated to be reclassified to revenue within 12 months. OCI activity during
the year (in thousands):

<TABLE>
<S> <C>
Balance, June 30, 2000 $ --
Effective portion of cash flow hedging instruments 3,643
Reclassified to revenue (211)
--------
Balance, June 30, 2001 $ 3,432
========
</TABLE>

Fair Value Hedges

Upon adoption of SFAS 133, KLA-Tencor hedged foreign currency
denominated sales as fair value exposures. The exposures were defined as the US
dollar value of foreign currency sales for which KLA-Tencor has received a firm
commitment to purchase from the customer. Upon receipt of the firm commitment
from the customer, a forward sales contract was entered into in order to protect
the US dollar value of the related revenue. Critical terms of the forward
contract and related underlying exposure were matched at hedge inception.
Periodic changes in value of both the underlying exposure and the forward
contract were recorded on the balance sheet and in "Interest income and other,
net" in the Consolidated Statements of Operations. Upon recognition of the
underlying exposure, accumulated gains or losses from the effective portion of
the hedge contract were recorded in revenue. Net gains from fair value hedge
contracts recorded in revenue during the year totaled $10.3 million. Any
measured ineffectiveness is recognized immediately on the Interest Income and
Other, net line of the Income statement. An immaterial amount of ineffectiveness
was recognized during the year.

Other Foreign Currency Hedges

KLA-Tencor hedges its monetary non-functional assets and liabilities,
and those of its subsidiaries. SFAS 52 requires that such monetary assets and
liabilities be remeasured periodically for changes in the rate of exchange
against the entities' functional currency. Changes in value of


63
64

these assets and liabilities are recorded in "Interest income and other, net" in
the Consolidated Statements of Operations. The volatility of the non-functional
currencies together with the requirement to remeasure non-functional assets and
liabilities may result in some volatility to KLA-Tencor's Consolidated
Statements of Operations if left unhedged. In order to mitigate these effects,
KLA-Tencor enters into remeasurement hedges which are forward contracts used to
offset the foreign currency positions represented by non-functional monetary
assets and liabilities. Remeasurement hedges are not SFAS 133 designated hedges,
thus changes in value of the remeasurement hedges are recorded currently in
earnings.


NOTE 9 -- SEGMENT REPORTING AND GEOGRAPHIC INFORMATION

In fiscal 1999, KLA-Tencor adopted SFAS 131, "Disclosures about Segments
of an Enterprise and Related Information." SFAS 131 establishes standards for
reporting information about operating segments in annual financial statements
and requires that certain selected information about operating segments be
reported in interim financial reports. It also establishes standards for related
disclosures about products and services, and geographic areas. Operating
segments are defined as components of an enterprise about which separate
financial information is evaluated regularly by the chief operating decision
maker, or decision-making group, in deciding how to allocate resources and in
assessing performance. KLA-Tencor's chief operating decision makers are the
Chief Executive Officer and the Chief Operating Officer.

KLA-Tencor is engaged primarily in designing, manufacturing, and
marketing yield management and process monitoring systems for the semiconductor
industry. All operating units have been aggregated due to their
inter-dependencies, commonality of long-term economic characteristics, products
and services, the production processes, class of customer and distribution
processes. Since KLA-Tencor operates in one segment, all financial segment
information required by SFAS 131 can be found in the Consolidated Financial
Statements.

KLA-Tencor's significant operations outside the United States include a
manufacturing facility in Israel and sales, marketing and service offices in
Western Europe, Japan, and the Asia Pacific region. For geographical reporting,
revenues are attributed to the geographic location in which the customer is
located. No single customer accounted for 10% or more of net revenues or
accounts receivable in any of the periods presented. Long-lived assets consist
of net property and equipment, goodwill, capitalized software and other
intangibles, and other long-term assets, excluding long-term deferred tax assets
and are attributed to the geographic location in which they are located. The
following is a summary of operations by entities located within the indicated
geographic areas for fiscal years 1999, 2000 and 2001.


64
65

<TABLE>
<CAPTION>
Year ended June 30, (in thousands) 1999 2000 2001
---------------------------------- ---------- ----------- -----------
<S> <C> <C> <C>
Revenues:
United States $ 338,791 $ 448,022 $ 714,517
Western Europe 133,099 222,186 401,764
Japan 198,196 309,062 591,408
Taiwan 87,883 299,442 138,508
Asia Pacific 85,212 220,100 257,560
---------- ----------- -----------
Total $ 843,181 $ 1,498,812 $ 2,103,757
========== =========== ===========
</TABLE>

<TABLE>
<CAPTION>
June 30, (in thousands) 1999 2000 2001
---------------------------------- ---------- ----------- -----------
<S> <C> <C> <C>
Long-lived assets:
United States $ 183,332 $ 240,148 $ 344,444
Western Europe 7,785 8,059 9,257
Japan 13,068 11,012 8,874
Taiwan 1,162 2,469 2,596
Asia Pacific 3,439 5,703 5,551
---------- ----------- -----------
Total $ 208,786 $ 267,391 $ 370,722
========== =========== ===========
</TABLE>

NOTE 10 - QUARTERLY CONSOLIDATED RESULTS OF OPERATIONS (UNAUDITED)

The following table presents certain unaudited consolidated quarterly
financial information for the eight quarters ended June 30, 2001. In its
opinion, this information has been prepared on the same basis as the audited
Consolidated Financial Statements appearing elsewhere in this Form 10-K and
includes all adjustments (consisting only of normal recurring adjustments)
necessary to present fairly the unaudited quarterly results of operations set
forth herein.


<TABLE>
<CAPTION>
(In thousands, except
per share data) September 30 December 31 March 31 June 30
--------------------- ------------ ----------- --------- ---------
<S> <C> <C> <C> <C>
Fiscal 2000:
Revenues $ 272,989 $ 330,757 $ 413,017 $ 482,049
Gross profit 136,872 177,384 231,645 275,106
Income from operations 42,740 61,550 91,147 116,104
Net income 39,502 49,249 73,347 91,700
Net income per share:
Basic $ 0.22 $ 0.27 $ 0.40 $ 0.49
Diluted $ 0.21 $ 0.26 $ 0.38 $ 0.47
</TABLE>

KLA-Tencor implemented the provisions of SAB 101 in the fourth fiscal
quarter of 2001, retroactive to July 1, 2000. As a result, KLA-Tencor changed
its method of accounting for revenue recognition. KLA-Tencor recorded a non-cash
charge of $306.4 million (after reduction for income taxes of $147.5 million),
or a loss of $1.59 per share, to reflect the cumulative effect of the


65
66

accounting change as of the beginning of the fiscal year. The results for the
first three quarters of fiscal year ended June 30, 2001 have been restated in
accordance with SAB 101. Pro forma amounts for the periods beginning before July
1, 2000 have not been presented as the effect of the change in accounting
principle could not be reasonably determined.


<TABLE>
<CAPTION>
(In thousands, except
per share data) September 30 December 31 March 31 June 30
--------------------- ------------ ----------- --------- ---------
<S> <C> <C> <C> <C>
Fiscal 2001:
Revenues
As previously reported $ 534,590 $ 573,056 $ 528,790 $ 602,642
Effect of change in accounting
principle (151,875) (72,223) 88,777 --
--------- --------- --------- ---------
As restated in first three quarters
and reported in fourth quarter 382,715 500,833 617,567 602,642
--------- --------- --------- ---------

Gross profit
As previously reported 306,514 328,620 286,097 333,473
Effect of change in accounting
principle (106,808) (43,687) 62,396 --
--------- --------- --------- ---------
As restated in first three quarters
and reported in fourth quarter 199,706 284,933 348,493 333,473
--------- --------- --------- ---------

Income from operations
As previously reported 134,969 137,883 112,353 161,362
Effect of change in accounting principle (106,808) (43,687) 62,396 --
--------- --------- --------- ---------
As restated in first three quarters
and reported in fourth quarter 28,161 94,196 174,749 161,362
--------- --------- --------- ---------

Net income
As previously reported 105,818 109,306 91,410 129,954
Effect of change in accounting principle (76,901) (31,455) 44,926 --
--------- --------- --------- ---------
Cumulative effect of change in
accounting principle (306,375) -- -- --
--------- --------- --------- ---------
As restated in first three quarters
and reported in fourth quarter $(277,458) $ 77,851 $ 136,336 $ 129,954
========= ========= ========= =========
</TABLE>


66
67

<TABLE>
<CAPTION>
(In thousands, except
per share data) September 30 December 31 March 31 June 30
--------------------- ------------ ----------- --------- ---------
<S> <C> <C> <C> <C>
Earning per basic share:
Income before cumulative effect of
change in accounting principles
As previously reported $ 0.57 $ 0.59 $ 0.50 $ 0.70
Effect of change in accounting principle $ (0.42) $ (0.17) $ 0.24 $ --
--------- --------- --------- ---------
As restated in first three quarters
and reported in fourth quarter $ 0.15 $ 0.42 $ 0.74 $ 0.70

Cumulative effect of change in
accounting principle $ (1.63) $ -- $ -- $ --
--------- --------- --------- ---------
Net income $ (1.48) $ 0.42 $ 0.74 $ 0.70
--------- --------- --------- ---------

Earning per diluted share:
Income before cumulative effect of change
in accounting principles
As previously reported $ 0.54 $ 0.57 $ 0.48 $ 0.67
Effect of change in accounting
principle $ (0.39) $ (0.16) $ 0.23 $ --
--------- --------- --------- ---------
As restated in first three quarters
and reported in fourth quarter $ 0.15 $ 0.41 $ 0.71 $ 0.67
Cumulative effect of change in
accounting principle $ (1.56) $ -- $ -- $ --
--------- --------- --------- ---------
Net income $ (1.41) $ 0.41 $ 0.71 $ 0.67
--------- --------- --------- ---------
</TABLE>


67
68

REPORT OF INDEPENDENT ACCOUNTANTS

To the Board of Directors and Stockholders of KLA-Tencor Corporation

In our opinion, the accompanying consolidated balance sheets and the related
consolidated statements of operations, of stockholders' equity and of cash flows
present fairly, in all material respects, the financial position of KLA-Tencor
Corporation and its subsidiaries at June 30, 2001 and 2000, and the results of
their operations and their cash flows for each of the three years in the period
ended June 30, 2001, in conformity with accounting principles generally accepted
in the United States of America. These financial statements are the
responsibility of the Company's management; our responsibility is to express an
opinion on these financial statements based on our audits. We conducted our
audits of these statements in accordance with auditing standards generally
accepted in the United States of America which require that we plan and perform
the audit to obtain reasonable assurance about whether the financial statements
are free of material misstatement. An audit includes examining, on a test basis,
evidence supporting the amounts and disclosures in the financial statements,
assessing the accounting principles used and significant estimates made by
management, and evaluating the overall financial statement presentation. We
believe that our audits provide a reasonable basis for our opinion.

As discussed in Note 1 to the Consolidated Financial Statements, effective July
1, 2000, the Company changed its method of accounting for revenue recognition in
accordance with guidance in Securities and Exchange Commission Staff Accounting
Bulletin No. 101 (SAB 101), "Revenue Recognition in Financial Statements."

/s/ PricewaterhouseCoopers LLP

San Jose, California
July 31, 2001







68
69

ITEM 9. CHANGES IN AND DISAGREEMENTS WITH ACCOUNTANTS ON ACCOUNTING AND
FINANCIAL DISCLOSURE

None.








69
70

PART III


ITEM 10. DIRECTORS AND EXECUTIVE OFFICERS OF THE REGISTRANT

Set forth below are the names of the present directors and executive
officers of KLA-Tencor as of September 21, 2001, their ages and positions held.
Additional information required by Item 405 of Regulation S-K of the Securities
Act of 1933, as amended, is incorporated herein by reference to our Proxy
Statement.


<TABLE>
<CAPTION>
Name Age Position
---- --- --------
<S> <C> <C>
Kenneth Levy 58 Chairman of the Board
Kenneth L. Schroeder 55 President and Chief Executive Officer
Gary E. Dickerson 44 Chief Operating Officer
John H. Kispert 37 Executive Vice President, and Chief Financial Officer
Rodney M. Browning 39 Vice President, Customer Group
J. Peter Campagna 49 Corporate Vice President and Treasurer
Dennis J. Fortino 55 Executive Vice President, Optical Surface Inspection
and Measurement Group
Samuel A. Harrell 61 Senior Vice President, Strategic Business Development
Maureen L. Lamb 40 Vice President, Finance and Accounting
Stuart J. Nichols 41 Vice President, General Counsel
Neil Richardson 46 Executive Vice President, E-Beam Inspection and
Metrology Group
Richard P. Wallace 41 Executive Vice President, Wafer Inspection Group
</TABLE>


Kenneth Levy is a co-founder of KLA-Tencor and since July 1, 1999 has
been Chairman of the Board and a Director. From July 1998 until June 30, 1999,
he was the Chief Executive Officer and a Director. From April 30, 1997 until
June 30, 1998 he was Chairman of the Board. From 1975 until April 30, 1997 he
was Chairman of the Board and Chief Executive Officer. He currently serves on
the boards of directors of Ultratech Stepper, Inc., SpeedFam-IPEC, Inc. and is a
Director Emeritus of SEMI, an industry trade association.

Kenneth L. Schroeder has been President and Chief Executive Officer and
a Director of KLA-Tencor since July 1999. From November 1991 until June 30,
1999, he was President and Chief Operating Officer and a Director. He currently
serves on the board of directors of SEMI, an industry trade association.

Gary E. Dickerson has been Chief Operating Officer since July 1999. Mr.
Dickerson joined KLA-Tencor in January 1986 and has held a series of management
positions. From July 1997 until June 30, 1999, he was Executive Vice President
of the Customer Group. In January 1996, he was


70
71

promoted to Group Vice President for the Wafer Inspection Group. In July 1994 he
became the General Manager of the Wisard Division.

John H. Kispert became Chief Financial Officer in July 2000. Before
becoming CFO, Mr. Kispert was Vice President of Finance and Accounting since
July 1999. From February 1998 to July 1999 he was Vice President of Operations
for the Wafer Inspection Group. From August 1997 to February 1998 he was
Director of Operations. Mr. Kispert joined KLA-Tencor in February 1995 and has
held a series of other management positions within the Company. Prior to
KLA-Tencor, Mr. Kispert was with IBM for 6 years.

Rodney M. Browning became Vice President of the Customer Group of
KLA-Tencor since November 2000. From November 1998 until November 2000 he was
the Vice President and General Manager of the WIN Division of KLA-Tencor. From
January 1997 to November 1998 he was the Vice President and General Manager of
the AIT Division. Mr. Browning joined KLA-Tencor in 1985 and has held a series
of other management positions at the company.

J. Peter Campagna joined KLA-Tencor as Corporate Vice President and
Treasurer in October 2000. From August 1998 to October 2000, he was Corporate
Vice President and Treasurer of Adaptec, Inc. From November 1994 to August 1998,
he was Director of Tax for Adaptec, Inc. Prior to joining Adaptec, Inc., Mr.
Campagna held a series of management positions at Intel Corp. and
Watkins-Johnson Company.

Dennis J. Fortino has been Executive Vice President of the Optical
Surface Inspection and Measurement Group since July 1999. From August 1997 to
June 1999, he served as Vice President and General Manager of the Surfscan
Division and from November 1995 to July 1997 as the Vice President and General
Manager of the Surface Metrology Division. Mr. Fortino served as Vice President
and General Manager for Spectra-Physics Lasers from July 1991 to October 1995.

Dr. Samuel A. Harrell joined KLA-Tencor in September 1995 as Senior Vice
President of Strategic Business Development. Dr. Harrell is responsible for
strategic corporate development. Dr. Harrell served from October 1992 to
December 1995 as the Senior Vice President and Chief Strategy Officer of
SEMATECH. From August 1987 to September 1992 he served as President of
SEMI/SEMATECH.

Maureen L. Lamb became Vice President, Finance and Accounting in July
2000. She was the Corporate Controller from January 1999 to July 2000. Prior to
joining KLA-Tencor, Ms. Lamb was an investment banker at Morgan Stanley Dean
Witter & Co. and The Goldman Sachs Group, Inc. in New York.

Stuart J. Nichols joined KLA-Tencor in October 1999 as Vice President,
General Counsel. Before KLA-Tencor, Mr. Nichols served from May 1997 to October
1999 as Vice President, General Counsel and Secretary of Phoenix Technologies
Ltd. Mr. Nichols also served as General Counsel of Samsung Semiconductor, Inc.
from August 1995 to May 1997.


71
72

Dr. Neil Richardson has been Executive Vice President of E-Beam
Inspection and Metrology Group since May 1998. He was Executive Vice President
of the Metrology Group from February 1997 to April 1998. He joined KLA-Tencor in
June 1993 as Vice President and General Manager of the Metrology Division.

Richard P. Wallace became Executive Vice President of the Wafer
Inspection Group in July 2000. From July 1999 to June 2000, he was the Group
Vice President for Lithography and Films. From April 1998 to June 1999 he was
Vice President and General Manager of the Mirage Group. From 1995 to March 1998
he was Vice President and General Manager of the Wisard division. Mr. Wallace
joined KLA-Tencor in 1988 and has held a series of other management positions.

For additional information required by this item see "Compliance with
Section 16(a) Beneficial Ownership Reporting Compliance" in the Proxy Statement,
which is incorporated herein by reference.


ITEM 11. EXECUTIVE COMPENSATION

For the information required by this Item, see "Executive Compensation"
in the Proxy Statement, which is incorporated herein by reference.


ITEM 12. SECURITY OWNERSHIP OF CERTAIN BENEFICIAL OWNERS AND MANAGEMENT

For the information required by this Item, see "Security Ownership -
Principal Stockholders and Security Ownership of Management" in the Proxy
Statement, which is incorporated herein by reference.


ITEM 13. CERTAIN RELATIONSHIPS AND RELATED TRANSACTIONS

For the information required by this Item, see "Certain Transactions" in
the Proxy Statement, which is incorporated herein by reference.




72
73

PART IV


ITEM 14. EXHIBITS, FINANCIAL STATEMENT SCHEDULES, AND REPORTS ON FORM 8-K

(a) The following documents are filed as part of this Annual Report on Form
10-K:

1. Financial Statements:

The following financial statements and schedules of the Registrant
are contained in Item 8 of this Annual Report on Form 10-K:

Consolidated Balance Sheets at June 30, 2000 and 2001

Consolidated Statements of Operations for each of the three
years in the period ended June 30, 2001

Consolidated Statements of Stockholders' Equity for each of
the three years in the period ended June 30, 2001

Consolidated Statements of Cash Flows for each of the three
years in the period ended June 30, 2001

Notes to Consolidated Financial Statements

Report of Independent Accountants

2. Financial Statement Schedules:

The following financial statement schedule of the Registrant is
filed as part of this Annual Report on Form 10-K and should be
read in conjunction with the financial statements:

Schedule II -- Valuation and Qualifying Accounts

All other schedules are omitted because they are either not
applicable or the required information is shown in the
Consolidated Financial Statements or notes thereto.

3. Exhibits

<TABLE>
<CAPTION>
EXHIBIT
NO. DESCRIPTION
------- -----------
<S> <C>

3.1 Amended and Restated Certificate of Incorporation (1)

3.2 Certificate of Amendment of Amended and Restated
Certificate of Incorporation (2)

3.3 Bylaws, as amended November 17, 1998 (3)
</TABLE>



73
74

<TABLE>
<CAPTION>
EXHIBIT
NO. DESCRIPTION
------- -----------
<S> <C>
4.1 Amended and Restated Rights Agreement dated as of August
25, 1996 between the Company and First National Bank of
Boston, as Rights Agent. The Agreement includes the Form
of Right Certificate as Exhibit A and the Summary of
Terms of Rights as Exhibit B (4)

10.1 1998 Outside Director Option Plan (5)

10.2 1990 Outside Directors Stock Option Plan (6)

10.3 Tencor Instruments 1993 Nonemployee Directors Stock
Option Plan (7)

10.4 1997 Employee Stock Purchase Plan (8)

10.5 Second Amended and Restated 1981 Employee Stock Purchase
Plan (9)

10.6 Tencor Instruments Amended and Restated 1993 Equity
Incentive Plan (10)

10.7 1993 Employee Incentive Stock Option Plan of Prometrix
Corporation (11)

10.8 Tencor Instruments Second Amended and Restated 1984
Stock Option Plan (12)

10.9 1983 Employee Incentive Stock Option Plan of Prometrix
Corporation (13)

10.10 Restated 1982 Stock Option Plan, as amended November 18,
1996 (14)

10.11 Excess Profit Stock Plan (15)

10.12 Form of KLA-Tencor Corporation Corporate Officers
Retention Plan (16)

10.13 Form of Retention and Non-Competition Agreement (17)

10.14 Form of Indemnification Agreement (18)

10.15 Separation Agreement between Graham Siddall and the
Company (19)

10.16 Livermore Land Purchase and Sale Agreement (20)

21.1 List of Subsidiaries

23.1 Consent of Independent Accountants
</TABLE>

<TABLE>
<CAPTION>
NOTES
-----
<S> <C>
(1) Filed as Exhibit 3.1 to the Company's Quarterly Report
on Form 10-Q for the quarter ended March 31, 1997

(2) Filed as Exhibit 3.1 to the Company's Quarterly Report
on Form 10-Q for the quarter ended December 31, 2000

(3) Filed as Exhibit 3.2 to the Company's Registration
Statement on Form S-8 filed December 4, 1998, SEC File
No. 333-68415.

(4) Filed as Exhibit 1 to the Company's report on form
8-A/A, Amendment No. 2 to the Registration Statement on
Form 8-A filed September 24, 1996, SEC File No. 0-9992.
</TABLE>


74
75

<TABLE>
<S> <C>
(5) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-8 filed December 4, 1998, SEC File
No. 333-68423.

(6) Filed as Exhibit 4.6 to the Company's Annual Report on
Form 10-K for the year ended June 30, 1991.

(7) Filed as Exhibit 10.3 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(8) Filed as Exhibit 10.2 to the Company's Registration
Statement on Form S-8 filed January 30, 1998, SEC File
No. 333-45271.

(9) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-8 filed January 30, 1998, SEC File
No. 333-45271.

(10) Filed as Exhibit 10.2 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(11) Filed as Exhibit 10.7 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(12) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(13) Filed as Exhibit 10.6 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(14) Filed as Exhibit 10.74 to the Company's Registration
Statement on Form S-8 filed March 7, 1997, SEC File No.
333-22941.

(15) Filed as Exhibit 10.15 to the Company's Registration
Statement on Form S-8 filed August 7, 1998, SEC File No.
333-60887.

(16) Filed as Exhibit 10.2 to the Company's Registration
Statement on Form S-4 filed March 11, 1997, SEC File No.
333-23075.

(17) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-4 filed March 11, 1997, SEC File No.
333-23075.

(18) Filed as Exhibit 10.3 to the Company's Annual Report on
Form 10-K for the year ended June 30, 1997.

(19) Filed as Exhibit 10.15 to the Company's Annual Report on
Form 10-K for the year ended June 30, 1999.

(20) Filed as Exhibit 10.16 to the Company's Annual Report on
Form 10-K for the year ended June 30, 2000.
</TABLE>


(b) Reports on Form 8-K

None



75
76

SIGNATURES

Pursuant to the requirements of Section 13 or 15(d) of the Securities
Exchange Act of 1934, the registrant has duly caused this report to be signed on
its behalf by the undersigned, thereunto duly authorized on September 21, 2001.

KLA-Tencor Corporation

By: /s/ KENNETH LEVY
--------------------------
Kenneth Levy
Chairman of the Board

Pursuant to the requirements of the Securities Exchange Act of 1934,
this report has been signed below by the following persons on behalf of the
registrant and in the capacities and on the dates indicated.

<TABLE>
<CAPTION>
SIGNATURE TITLE DATE
--------- ----- ----
<S> <C> <C>
/s/ KENNETH LEVY Chairman of the Board and Director September 21, 2001
--------------------------------
Kenneth Levy

/s/ KENNETH L. SCHROEDER President, Chief Executive Officer September 21, 2001
-------------------------------- and Director (Principle Executive
Kenneth L. Schroeder Officer)

/s/ JOHN H. KISPERT Executive Vice President and Chief September 21, 2001
-------------------------------- Financial Officer (Principal
John H. Kispert Accounting Officer)

/s/ EDWARD W. BARNHOLT Director September 21, 2001
--------------------------------
Edward W. Barnholt

/s/ H. RAYMOND BINGHAM Director September 21, 2001
--------------------------------
H. Raymond Bingham

/s/ ROBERT T. BOND Director September 21, 2001
--------------------------------
Robert T. Bond

/s/ RICHARD J. ELKUS, Jr. Director September 21, 2001
--------------------------------
Richard J. Elkus, Jr.

/s/ DEAN O. MORTON Director September 21, 2001
--------------------------------
Dean O. Morton

/s/ JON D. TOMPKINS Director September 21, 2001
--------------------------------
Jon D. Tompkins

/s/ LIDA URBANEK Director September 21, 2001
--------------------------------
Lida Urbanek
</TABLE>




76
77

Report of Independent Accountants on
Financial Statement Schedule



To the Board of Directors
of KLA-Tencor Corporation

Our audits of the Consolidated Financial Statements referred to in our report
dated July 31, 2001, also included an audit of the financial statement schedule
listed in Item 14(a)2 on this Form 10-K. In our opinion, this financial
statement schedule presents fairly, in all material respects, the information
set forth therein when read in conjunction with the related Consolidated
Financial Statements.

/s/ PricewaterhouseCoopers LLP

San Jose, California
July 31, 2001










77
78

SCHEDULE II

Valuation and Qualifying Accounts


<TABLE>
<CAPTION>
Balance at Balance
Beginning Charged to At End
(n thousands) of Period Expense Deductions of Period
-------------- ---------- ---------- ---------- ---------
<S> <C> <C> <C> <C>
Year Ended December 31, 1999:
Allowance for Doubtful Accounts $ 8,262 $ 19,271 $ 10,895 $ 16,638

Year Ended December 31, 2000:
Allowance for Doubtful Accounts $ 16,638 $ 13,731 $ 15,580 $ 14,789

Year Ended December 31, 2001:
Allowance for Doubtful Accounts $ 14,789 $ 7,728 $ 7,505 $ 15,012
</TABLE>











78
79

EXHIBITS

As required under Item 14, "Exhibits, Financial Statement Schedules and Reports
on Form 8-K," the exhibits filed as part of this report are provided in this
separate section. The exhibits included in this section are as follows:


<TABLE>
<CAPTION>
Exhibit
Number Description
------- -----------
<S> <C>
3.1 Amended and Restated Certificate of Incorporation (1)

3.2 Certificate of Amendment of Amended and Restated Certificate of
Incorporation (2)

3.3 Bylaws, as amended November 17, 1998 (3)

4.1 Amended and Restated Rights Agreement dated as of August 25,
1996 between the Company and First National Bank of Boston, as
Rights Agent. The Agreement includes the Form of Right
Certificate as Exhibit A and the Summary of Terms of Rights as
Exhibit B (4)

10.1 1998 Outside Director Option Plan (5)

10.2 1990 Outside Directors Stock Option Plan (6)

10.3 Tencor Instruments 1993 Nonemployee Directors Stock Option Plan
(7)

10.4 1997 Employee Stock Purchase Plan (8)

10.5 Second Amended and Restated 1981 Employee Stock Purchase Plan
(9)

10.6 Tencor Instruments Amended and Restated 1993 Equity Incentive
Plan (10)

10.7 1993 Employee Incentive Stock Option Plan of Prometrix
Corporation (11)

10.8 Tencor Instruments Second Amended and Restated 1984 Stock Option
Plan (12)

10.9 1983 Employee Incentive Stock Option Plan of Prometrix
Corporation (13)

10.10 Restated 1982 Stock Option Plan, as amended November 18, 1996
(14)

10.11 Excess Profit Stock Plan (15)

10.12 Form of KLA-Tencor Corporation Corporate Officers Retention Plan
(16)

10.13 Form of Retention and Non-Competition Agreement (17)

10.14 Form of Indemnification Agreement (18)

10.15 Separation Agreement between Graham Siddall and the Company (19)

10.16 Livermore Land Purchase and Sale Agreement (20)

21.1 List of Subsidiaries
</TABLE>



79
80

23.1 Consent of Independent Accountants

<TABLE>
<CAPTION>
NOTES
-----
<S> <C>
(1) Filed as Exhibit 3.1 to the Company's Quarterly Report
on Form 10-Q for the quarter ended March 31, 1997

(2) Filed as Exhibit 3.1 to the Company's Quarterly Report
on Form 10-Q for the quarter ended December 31, 2000

(3) Filed as Exhibit 3.2 to the Company's Registration
Statement on Form S-8 filed December 4, 1998, SEC File
No. 333-68415.

(4) Filed as Exhibit 1 to the Company's report on form
8-A/A, Amendment No. 2 to the Registration Statement on
Form 8-A filed September 24, 1996, SEC File No. 0-9992.

(5) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-8 filed December 4, 1998, SEC File
No. 333-68423.

(6) Filed as Exhibit 4.6 to the Company's Annual Report on
Form 10-K for the year ended June 30, 1991.

(7) Filed as Exhibit 10.3 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(8) Filed as Exhibit 10.2 to the Company's Registration
Statement on Form S-8 filed January 30, 1998, SEC File
No. 333-45271.

(9) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-8 filed January 30, 1998, SEC File
No. 333-45271.

(10) Filed as Exhibit 10.2 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(11) Filed as Exhibit 10.7 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(12) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(13) Filed as Exhibit 10.6 to the Company's Registration
Statement on Form S-8 filed May 8, 1997, SEC File No.
333-26681.

(14) Filed as Exhibit 10.74 to the Company's Registration
Statement on Form S-8 filed March 7, 1997, SEC File No.
333-22941.

(15) Filed as Exhibit 10.15 to the Company's Registration
Statement on Form S-8 filed August 7, 1998, SEC File No.
333-60887.

(16) Filed as Exhibit 10.2 to the Company's Registration
Statement on Form S-4 filed March 11, 1997, SEC File No.
333-23075.
</TABLE>


80
81

<TABLE>
<CAPTION>
NOTES
-----
<S> <C>
(17) Filed as Exhibit 10.1 to the Company's Registration
Statement on Form S-4 filed March 11, 1997, SEC File No.
333-23075.

(18) Filed as Exhibit 10.3 to the Company's Annual Report on
Form 10-K for the year ended June 30, 1997.

(19) Filed as Exhibit 10.15 to the Company's Annual Report on
Form 10-K for the year ended June 30, 1999.

(20) Filed as Exhibit 10.16 to the Company's Annual Report on
Form 10-K for the year ended June 30, 2000.
</TABLE>


81